Tải bản đầy đủ (.pdf) (76 trang)

Tài liệu Luận văn tốt nghiệp : Thiết kế và thi công Card ghi - đọc EPROM pptx

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (1.66 MB, 76 trang )

BỘ GIÁO DỤC VÀ ĐÀO TẠO
ĐẠI HỌC QUỐC GIA TP. HỒ CHÍ MINH
TRƯỜNG ĐẠÏI HỌC SƯ PHẠM KỸ THUẬT
KHOA ĐIỆN – ĐIỆN TỬ
BỘ MÔN ĐIỆN TỬ
  






L
L
U
U
A
A
Ä
Ä
N
N


V
V
A
A
Ê
Ê
N


N


T
T
O
O
Á
Á
T
T


N
N
G
G
H
H
I
I
E
E
Ä
Ä
P
P




Đề tài:
THIẾT KẾ VÀ THI CÔNG
CARD GHI- ĐỌC EPROM





Sinh Viên Thực Hiện : NGÔ SỸ
Lớp: 95 KĐĐ

Giáo Viên Hướng Dẫn
: TRẦN VĂN TRỌNG

TRƯƠNG T. BÍCH NGÀ






TP. HỒ CHÍ MINH
THÁNG 03/2000
Đại Học Quốc Gia Tp. Hồ Chí Minh Cộng Hòa Xã Hội Chủ NghóaViệt Nam
Trường Đại Học Sư Phạm Kỹ Thuật Độc Lập – Tự Do – Hạnh Phúc
oOo oOo
KHOA ĐIỆN
BỘ MÔN ĐIỆN TỬ
NHIỆM VỤ LUẬN VĂN TỐT NGHIỆP
Họ và tên: NGÔ SỸÂ

Niên khóa: 1995-2000
Ngành : Kỹ Thuật Điện –Điện Tử
1.
Đầu đề luận văn:
THIẾT KẾ VÀ THI CÔNG CARD GHI ĐỌC EPROM

2.
Cơ sở ban đầu:


3. Nội dung các phần lý thuyết và tính toán:


4. Các bản vẽ và đồ thò:


5.
Giáo viên hướng dẫn: TRẦN VĂN TRỌNG – TRƯƠNG T. BÍCH NGÀ
6. Ngày giao nhiệm vụ :
7. Ngày hoàn thành nhiệm vụ:
THÔNG QUA BỘ MÔN
Ngày…………tháng…………năm…………
Giáo viên hướng dẫn Chủ nhiệm bộ môn
TRẦN VĂN TRỌNG
TRƯƠNG T. BÍCH NGÀ
NHẬN XÉT CỦA GIÁO VIÊN HƯỚNG DẪN
























NHẬN XÉT CỦA GIÁO VIÊN PHẢN BIỆN


























NHẬN XÉT CỦA HỘI ĐỒNG CHẤM LUẬN VĂN TỐT NGHIỆP


























MỤC LỤC
PHẦN I: MỞ ĐẦU 1
C@ƯƠNG I: CHƯƠNG DẪN NHẬP 2
I. ĐẶT VẤN ĐỀ 2
II. GIỚI HẠN ĐỀ TÀI 2
III. MỤC ĐÍCH NGHIÊN CỨU 3
CHƯƠNG II: CƠ SỞ LÝ LUẬN 4
I. ĐẶC ĐIỂM, YÊU CẦU ĐỀ TÀI 4
II. MỤC ĐÍCH ĐỀ TÀI 4
III. PHƯƠNG PHÁP THIẾT KẾ 4
IV. PHƯƠNG PHÁP THU THẬP DỮ LIỆU 4
V. TRÌNH TỰ THIẾT KẾ 5
PHẦN II: NỘI DUNG 6
CHƯƠNG I. TỔNG QUAN MẠCH TÍCH HP 7
I. ĐỊNH NGHĨA 7
II. VI MẠCH SỐ 7
III. VI MẠCH NHỚ 8
IV. VI MẠCH VI XỬ LÝ 10
CHƯƠNG II: GIỚI THIỆU KIT PROFI – 5E 12

I. GIỚI THIỆU VỀ IC 2764 12
II. GIỚI THIỆU VỀ VI XỬ LÝ 8085 16
III. GIỚI THIỆU VỀ 8255A 19
IV. GIỚI THIỆU VỀ KIT PROFI – 5E 28
CHƯƠNG III. THIẾT KẾ PHẦN CỨNG 33
I. KHÁI NIỆM 33
II. NGUỒN CUNG CẤP 34
III. CARD GHI DỌC EPROM 36
CHƯƠNG IV. THIẾT KẾ PHẦM MỀM 44
I. GIỚI THIỆU 44
II. SƠ ĐỒ KHỐI 44
III. CHƯƠNG TRÌNH PHẦN MỀM 56
PHẦN III: PHẦN KẾT LUẬN 68
I. TỔNG KẾT 68
II. ĐÁNH GIÁ 68
III. HƯỚNG PHÁT TRIỂN 68
IV. TÀI LIỆU THAM KHẢO 69

LỜI NÓI ĐẦU

Trong thời đại bùng nổ thông tin, khoa học kỹ thuật, sự ra đời và phát triển
vượt bậc của bộ vi xử lý đã làm thay đổi cấu trúc hầu hết các ngành trong mọi lãnh
vực. Trong hệ thống tự động điều khiển, máy tính, mạng điện thoại… hoạt động
dưới sự điều khiển của bộ vi xử lý theo một chương trình lập sẵn được lưu giữ trong
một vi mạch nhớ mà người ta thường gọi là ROM (Read Only Memory).
Mặc dù ROM không được nhắc đến trong bộ vi xử lý, nhưng nó có mặt hầu hết
trong các hệ vi xử lý và cùng phát triển đồng thời với sự phát triển của bộ vi xử lý
để đáp ứng kòp thời với hoạt động của các hệ này. Vi mạch nhớ có nhiều loại,
nhưng hiện nay EPROM được sử dụng nhiều nhất do những ưu việt của nó.
Vấn đề đặt ra là làm sao chúng ta có thể ghi một chương trình điều khiển vào

EPROM? Và cách thức chúng ta đọc được một chương trình đã nạp sẵn trong
EPROM như thế nào? Đó chính là chức năng của một mạch ghi đọc EPROM mà đề
tài đã thiết kếâ và thi công.
Do thời gian thực hiện đề tài có hạn, hơn nữa đây là lần đầu tiên làm việc với
tính năng độc lập nên việc mở rộng đề tài không thực hiện được mà chỉ dừng lại ở
mức độ đơn giản và khả năng sai sót có thể xảy ra. Em rất mong sự góp ý của quý
thầy cô và các bạn để đề tài hoàn chỉnh hơn.
TP. Hồ Chí Minh tháng 3 năm 2000.
Sinh viên thực hiện
NGÔ SỸ
LỜI CẢM ƠN
Sau những tháng ngày miệt mài tìm hiểu, học hỏi
luận văn đã hoàn thành đúng thời gian quy đònh. Trong
suốt thời gian này, em luôn được sự giúp đỡ của quý
thầy cô trong Khoa Điện. Đặc biệt là sự hướng dẫn tận
tình, những lời động viên khích lệ của thầy Trần Văn
Trọng và cô Trương Thò Bích Ngà, hai giáo viên đã
hướng dẫn cho em.
Em không biết nói gì hơn để bày tỏ lòng biết ơn
chân thành đến quý thầy cô. Người đã vì em mà bỏ ra
biết bao công sức để cho đề tài em được trọn vẹn. Qua
đây em xin gởi đến thầy Trần Văn Trọng và cô
Trương Thò Bích Ngà lời kính chúc sức khỏe để đi
hết sự nghiệp trồng người của mình, góp phần đào tạo
những nhân tài cho đất nước cũng như tạo tiếng vang
cho Trường ĐH Sư Phạm Kỹ Thuật.
Xin chân thành cảm ơn các bạn bè, thân hữu đã
c những đóng góp cho đề tài hoàn thành trọn vẹn.
TP. Hồ Chí Minh tháng 3 năm 2000.
Sinh vieõn thửùc hieọn

NGO SYế
PHAÀN I
CHƯƠNG DẪN NHẬP
ĐẶT VẤN ĐỀ:
Với xu hướng khoa học kỹ thuật ngày càng phát triển mạnh mẽ, nhất là trong
lónh vực thông tin viễn thông, điện tử, công nghiệp… Nhiều thiết bò, linh kiện mới
đã ra đời thay thế cho những thiết bò, linh kiện trước đó có phần hạn chế.
Nhờ sự vi mạch hóa đã đem lại những lợi ích to lớn cho cuộc sống của con
người. Hầu hết khi xử lý dữ liệu, điều khiển… người ta đều chọn xử lý trên nền
tảng số học và đại số logic, với sự trợ giúp của các hệ vi mạch số. Đặc biệt là các
hệ vi mạch số lập trình được mà người ta thường gọi là ROM (Real Only Memory).
Vì thế em sẽ khảo sát cách ghi đọc EPROM để làm đề tài tốt nghiệp của mình.
GIỚI HẠN ĐỀ TÀI:
Tuy ROM không được nhắc đến trong bộ vi xử lý, nhưng nó hiện diện hầu hết
trong tất cả các hệ vi xử lý và nó phát triển đồng thời với sự phát triển của bộ vi xử
lý, để đáp ứng kòp thời của hệ này.
Theo suốt quá trình phát triển của ROM, em nhận thấy hiện nay hầu hết các
ứng dụng đều tập trung vào EPROM vì những ưu việt của nó. Với khả năng có hạn,
hơn nữa thời gian tiến hành đề tài chỉ trong thời gian ngắn nên chỉ thiết kế mạch ghi
đọc EPROM dùng kit vi xử lý với một loại EPROM duy nhất đó là 2764.
Luận văn bao gồm những nội dung sau:
- Chương I: Tổng quát về mạch tích hợp.
- Chương II: Giới thiệu kit PROFI – 5E.
- Chương III: Thiết kế mạch ghi đọc EPROM.
- Chương IV: Thiết kế phần mềm.
MỤC ĐÍCH NGHIÊN CỨU:
Với tầm quan trọng của ROM trong các ứng dụng thực tế, từ những nhu cầu
sinh hoạt – giải trí hằng ngày đến những ứng dụng trong đo lường, điều khiển… Để
các thiết bò giảm tối thiểu sự cố và chính xác thì đòi hỏi những chương trình phải
được lập trình sẵn. Vì muốn hiểu sâu trong việc lập trình của EPROM nên em đã

chọn đề tài card ghi đọc EPROM để trao dồi, mở rộng kiến thức cho mình.
CHƯƠNG II: CƠ SỞ LÝ LUẬN
ĐẶC ĐIỂM, YÊU CẦU CỦA ĐỀ TÀI:
Với khả năng có hạn, hơn nữa yêu cầu đặt ra của đề tài là không đi rộng hết
các loại ROM mà đi sâu vào một linh kiện được sử dụng rộng rãi hiện nay là
EPROM 2764. Do đó đề tài sẽ thiết kế card ghi đọc EPROM có những đặc điểm
sau:
- Card phải dễ sử dụng, kích thước nhỏ gọn.
- Độ tin cậy cao.
- Dể di chuyển.
MỤC ĐÍCH CỦA ĐỀ TÀI:
Đề tài mà em thiết kế không có sự quy mô như những đề tài của đàn anh đi
trước. Nhưng không vì thế mà nó kém đi phần thực tế. Do tính chất thông dụng của
EPROM trong đời sống thì việc thiết kế card ghi đọc EPROM dùng kit vi xử lý có
những thuận lợi hơn việc giao tiếp bằng máy tính về phương diện kinh tế cũng như
kích thước.
Ngoài ra, đề tài sẽ là những gì đúc kết lại sau những năm ngồi trên ghế giảng
đường của trường ĐH Sư Phạm Kỹ Thuật.
PHƯƠNG PHÁP THIẾT KẾ:
Từ những đặc điểm, yêu cầu của đề bài, em đã chọn ra phương áf thực hiện
theo trình tự sau:
- Tham khảo ý kiến của giáo viên, bạn bè và thu thập tài liệu liên quan.
- Đề ra phương án thi công có tính khả thi xét trên các mặt kinh tế và kỹ thuật.
- Thực hiện theo phương án, thi công dưới sự chỉ dẫn của giáo viên hướng dẫn.
PHƯƠNG PHÁP THU THẬP DỮ LIỆU:
Những tài liệu, những vấn đề có liên quan đến đề tài chủ yếu do giáo viên
hướng dẫn cung cấp.
Ngoài ra, em còn tham khảo ý kiến của quý thầy cô trong Khoa Điện và các
bạn bè thân thuộc.
Em cũng bỏ ra rất nhiều thời gian để tự mình nghiên cứu, tìm tòi những phần

liên quan trong đề tài.
TRÌNH TỰ THIẾT KẾ:
Sau khi bắt tay vào làm đề tài, em đã tuân thủ các bước sau:
- Thu thập dữ liệu.
- Phân tích dữ liệu.
- Đề ra các phương án và lựa chọn.
- Thi công.
- Kiểm tra và kết luận.
PHAÀN II
CHƯƠNG I: TỔNG QUAN VỀ MẠCH TÍCH HP
ĐỊNH NGHĨA:
Mạch tích hợp là mạch điện mà các phần tử được chế tạo đồng thời trên cùng
một đế, và các phần tử này không tách rời nhau, thông thường người ta gọi là IC
(Intergrated Circuit).
Với sự phát triển không ngừng về kỹ thuật và công nghệ chế tạo linh kiện điện
tử, đã cho ra đời những mạc` tích hợp có độ tin cậy cao, kích thước nhỏ. Tính đa
dụng cũng như tính kinh tế cũng được phát huy.
Theo mức độ tích hợp ta phân ra các mạch tích hợp sau:
 Loại nhỏ ( SSI ) chứa dưới 12 cổng logic cơ bản.
 Loại vừa ( MSI ) tích hợp đến cả trăm cổng logic cơ bản.
 Loại lớn ( LSI ) tích hợp đến cả ngàn cổng logic cơ bản.
 Loại cực lớn ( VLSI ) tích hợp đến hơn một ngàn cổng logic. Đây là các loại
mạch vi xử lý .
Theo chức năng vi mạch người ta phân ra các loại sau:
 Vi mạch tương tự ( Analog IC ).
 Vi mạch số (Digital IC ).
 Vi mạch chuyển đổi ADC, DAC ( Analog – Digital Converter ).
 Vi mạch nhớ ( Memory IC ).
 Vi mạch vi xử lý (Processor).
Và nhiều loại vi mạch chuyên dụng khác nữa.

VI MẠCH SỐ:
Vi mạch số là các vi mạch mà nó chỉ làm việc đúng với các tín hiệu gián đoạn,
rời rạc. Các tín hiệu này chính là các giá trò có điện (High) và không có điện (Low)
của điện áp.
Với sự phát triển rất nhanh v mạnh của kỹ thuật số. Vi mạch số ngày nay đang
được ưa chuộng và được ứng dụng trong các ngành then chốt như: máy tính điện tử,
đo lường, điều khiển… cũng như trong lónh vực dân dụng như quang báo…
Bằng công nghệ khác nhau mà nhà chế tạo đã sản xuất ra IC số theo 2 loại chính
để tạo nên 2 loại IC phổ biến.
TTL ( Transistor – Transistor logic ) làm việc ở mức điện áp 5v ± 10%.
CMOS ( Complementary Mos) làm việc ở điện áp cao hơn với 1 dãy rộng.
Điển hình của loại IC TTL là họ 74xx, 74Hxx, 74LSxx,… và cho CMOS là
74Cxx,74CHxx, 45xx.
Mỗi loại có những ưu việt cũng như khuyết điểm riêng. Tùy vào những ứng dụng
cụ thể mà ta chọn cho thích hợp.
VI MẠCH NHỚ:
Là vi mạch có khả năng lưu trữ dữ liệu. Về mặt điện tích thì chúng được xem
như nhiều ô nhớ mà ta có thể đặt vào một giá trò điện áp là High hoặc Low. Và giá
trò này sẽ được lưu trữ theo thời gian tùy theo từng loại. Có 2 loại mạch nhớ cơ bản
là ROM và RAM.
III.1. Ram ( Random Access Memory):
Là bộ nhớ có thể truy xuất và ghi vào. Nói cách khác RAM là bộ nhớ thay đổi,
nghóa là nó sẽ mất dữ liệu khi bò mất nguồn nuôi.
Có 2 loại RAM sau:
III.1.1. SRAM ( Static RAM):
Được gọi là RAM tónh, là dạng RAM hoạt động theo nguyên tắc của Flip –
Flop D. dữ liệu ghi vào được tồn trữ theo thời gian.
III.1.2. DRAM ( Dynamic RAM):
Được gọi là RAM động. Là dạng RAM hoạt động như tụ điện, do đó dữ liệu có
thể bò mất sau khi ngắt điện. Vì thế đối với DRAM để đảm bảo không mất dữ liệu

thì ta phải làm tươi RAM sau một khoảng thời gian ấn đònh.
III.2. ROM (Real Only Memory):
Là bộ nhớ chỉ có thể đọc được dữ liệu được ghi trước từ nó. Nhưng cũng có
một số loại ROM ta có thể ghi vào nó với một số điều kiện đặc biệt.
Hình 1: Sơ đồ logic ROM được đơn giản hóa.
Tùy theo công nghệ chế tạo và cách thức ghi dữ liệu mà ta có các loại ROM
sau:


ROM

A
3
A
2

A
1
A
0
D
7
D
0
Data bus

Andress Bus

Control


Input
III.2.1. PROM (Programmable ROM ):
Là loại chỉ ghi được dữ liệu một lần và không đổi được nữa. Người sử dụng có
thể tự lập trình trên PROM. Thường gọi là ROM cầu chì, có giá thành thấp, được sử
dụng trong các ứng dụng quy mô nhỏ.
III.2.2. MROM (Mask – Programmed ROM):
Là loại ROM chỉ sản xuất theo đơn đặt hàng vì chỉ được lập trình một lần duy
nhất và chương trình được cài sẵn trong quá trình chế tạo của nhà sản xuất.
III.2.3. EPROM (Erasable ROM):
Là loại ROM lập trình được nhiều lần. Mỗi lần lập trình sai có thể lập trình lại
bằng cách xóa đi trước khi thực hiện chương trình mới.
Xóa EPROM bằng cách chiếu tia cực tím vào cửa sổ trên thân EPROM. Khi
EPROM được xóa sạch có nghóa là toàn bộ tế bào nhớ đều ở mức 1.
EPROM được ký hiệu 27xxxx.
III.2.4. EEROM (Electrically EPROM ):
EPROM có 2 nhược điểm sau:
Muốn thay đổi chương trình khác phải đem đi xóa và lập trình lại, việc này rất
tốn thời gian.
Khi ta muốn thay đổi nội dung của một bit tại một đòa chỉ nào đó thì phải xóa
toàn bộ EPROM.
Do đó EEPROM đã ra đời để cải tiến EPROM.
EEPROM có thể xóa bằng điện. Và khi xóa có thể xóa toàn bộ hay từng từ
(Word) trong ma trận nhớ.
Ký hiệu EEPROM: 28xxx.
Điện áp lập trình là 5v vì bên trong có bộ chuyển đổi DC sang DC (từ 5v÷21v).
VI MẠCH VI XỬ LÝ:
Vi xử lý là vi mạch lớn hoặc cực lớn (LSI hoặc VLSI ) có chức făng tương tự đơn
vò xử lý trung tâm ( CPU: Center Processer Unit ) của máy tính thông thường nhưng
mức độ thấp hơn về tốc độ cũng như về khả năng xử lý và xuất dữ liệu.
Một vi xử lý có thể thực hiện vài trăm lệnh đến hàng ngàn lệnh. Do đó nó có khả

năng thực hiện được rất nhiều việc khác nhau tùy theo yêu cầu của người sử dụng.
Tính ưu việt của vi xử lý trong kỹ thuật điều khiển và đo lường ngày càng
được khẳng đònh do tính mềm dẻo của phần mềm. Mặc dù nó phức tạp trong hoạt
động thiết kế, nhưng tính kinh tế là một ưu điểm và kích thước nhỏ.
Thông thường 1 hệ vi xử lý gồm có 2 phần chính:
 Phần cứng.
 Phần mềm.
Phần cứng bao gồm 3 phần chủ yếu: đơn vò xử lý trung tâm (CPU), khối nhớ, khối
vào ra. Ngoài ra còn có các đường dẫn tín hiệu, bộ dao động …
Phần mềm: là các chương trình do người sử dụng viết để điều khiển theo yêu cầu
của mình.
Một số vi xử lý thông dụng hiện nay là Z80, 6800, 8085, 8031… Càng về sau thì
các hệ vi xử lý càng tiến bộ về khả năng xử lý dữ liệu và tốc độ xử lý…
Sơ đồ cấu trúc 1 bộ vi xử lý:




CPU

ROM

RAM

I/O
OUT

IN

Addess Bus


Data Bus

Control Bus
CHƯƠNG II: GIỚI THIỆU KIT PROFI – 5E
GIỚI THIỆU VỀ EPROM 2764
I.1. GIỚI THIỆU:
EPROM 2764 do hãng Intel sản xuất có các đặc điểm.
Nguồn cung cấp V
cc
= 5v.
Dung lượng: 8k x 8 bit ( gồm 65.536 bit).
Thời gian tối đa để lập trình chọn IC 2764 là 420s.
Thời gian truy xuất tối đa:
 Chế độ bình thường là 280 ns.
 Chế độ nhanh là 200ns.
Xung lập trình đơn.
Công suất tiêu tán thấp.
 Ở chế độ hoạt động: dòng tối đa 150mA
 Ở trạng thái chờ: dòng tối đa 35mA.
Hoạt động dựa trên các thông số của họ TTL.
Ngõ ra 3 trạng thái.
Lập trình bằng điện và xóa bằng tia cực tím.
I.1.1. SƠ ĐỒ CHÂN CỦA EPROM 2764:
Trong đó:
A0 đến A12 bus đòa chỉ (ngõ vào).
D0 đến D7 bus dữ liệu (ngõ ra).
OE: điều khiển cho phép ngõ ra (ngõ vào).
CE: điều khiển chọn chip (ngõ vào).
Vpp: điện áp lập trình.

PGM: xung lập trình với độ rộng cần thiết.
I.1.2. BẢNG TRẠNG THÁI HOẠT ĐỘNG EPROM 2764:
CHÂN

Chế độ
CE
(20)
OE
(22)
PGM
(27)
V
PP

(1)
V
CC
(28)
OUTPUT
(11-13,15-19)
Đọc V
IL
V
IL
V
IH
V
CC
V
CC

Ra
Chờ V
IH
X X V
CC
V
CC
Z cao
Nạp C/T V
IL
X V
IL
V
PP
V
CC
Vào
Kiểm C/T V
IL
V
IL
V
IH
V
PP
V
CC
Ra
Cấm nạp C/T V
IH

X X V
PP
V
CC
Z cao
Trong đó các điện áp:
VIH tương ứng với mức logic 1 của TTL.
VIL tương ứng với mức logic 0 của TTL.
X: là trạng thái không quan tâm.
I.1.3. SƠ ĐỒ KHỐI EPROM 2764:
I.2. CHẾ ĐỘ ĐỌC:
Logic điều
khiển

Giải mã Y


Giải mã X

Đệm ngõ
ra

Mạch của Y
(Y gating)

Ma trận nhớ
65.536 bit

D
0

÷D
7
V
pp
V
cc
GND

A
0
÷A
12
OE

CE/PGM
A
0

A
1
A
2
A
3
A
4
A
5
A
6

A
7
A
8
A
9
A
10
A
11
A
12
CE
OE
PGM
VPP
00
01
02
03
04
05
06
07
11
12
13
15
16
17

18
19
10

9
8
7
6
5
4
3
25
24
21
23
2
20
22
27
1

2764

Giản đồ xung chu kỳ đọc:
Khi các chân CE, OE ở mức logic 0 và V
pp
ở +5v thì chế độ đọc được xác lập.
Dữ liệu chỉ xuất ra trong một khoảng thời gian t
ACC
, t

OE
.
I.3. CHẾ ĐỘ CHỜ:
Từ bảng trạng thái, khi CE ở mức logic 1, V
pp
ở mức +5v thì chế độ chờ được
thiết lập. Ở chế độ này làm giảm công suất tiêu thụ còn 75%. Dòng điện tiêu thụ tối
đa 35 mA. Các ngõ ra ở 3 trạng thái (Hi – Z ), độc lập với ngõ vào CE.
I.4. CHẾ ĐỘ LẬP TRÌNH:
Chế độ lập trình sẽ được hoàn hảo khi EPROM đã được xóa sạch. Khi đó, các bit
của EPROM đều ở trạng thái logic 1. Việc lập trình được tiến hành từ đòa chỉ thấp
nhất đến đòa chỉ cao hơn và nó sẽ kết thúc khi ta hết dữ liệu đưa vào mà không đòi
hỏi là phải chiếm hết các ô nhớ của EPROM.
Để lập trình EPROM 2764 ta cần thực hiện các bước cơ bản sau:
Đưa đòa chỉ vào bus đòa chỉ của EPROM để chọn ô nhớ lập trình.
Đưa dữ liệu cần nạp vào bus dữ liệu của EPROM. Mỗi lần dữ liệu vào thì ô nhớ
tự động tăng lên 1 đơn vò.
Điện áp cần nạp EPROM 2764: Vpp =+21v hoặc =+12,5v
Chân CE được nối xuống mass (mức logic 0).
Khi công việc trên đã hoàn tất nghóa là đòa chỉ và dữ liệu đã ổn đònh thì xung lập
trình được đưa vào chân PGM.
Giản đồ xung lập trình:
Khi lập trình, người lập trình có thể thâm nhập bất kỳ ô nhớ nào vào bất kỳ lúc
nào. Việc chọn đòa chỉ có khoảng cách liên tục hay ngẩu nhiên.
I.5. CHẾ ĐỘ CẤM LẬP TRÌNH:
Chế độ này sẽ thực thi khi người viết chương trình điều khiển chân CE lên mức
logic 1. Lúc này các ngõ ra ở tổng trở cao. Chế độ cấm lập trình nói chung và chân
CE nói riêng được xem như là một công tắc chọn lựa khi mà ta lập trình song song
nhiều EPROM 2764 cùng một lúc.
I.6. CHẾ ĐỘ KIỂM TRA LẬP TRÌNH:

Khi ta chuyển sang chế độ này với mục đích là kiểm tra những dữ liệu vừa
nhập và xem có sai sót không. Khi kiểm tra các chân CE, OE ở mức logic 0, V
pp
=
+21v.

GIỚI THIỆU VI XỬ LÝ 8085:
Vi xử lý 8085 do hãng Intel sản xuất. Đó là vi xử lý 8 bit, các vi xử lý 8 bit là
sự cải tiến của các vi pử lý 4 bit ra đời vào đầu thập niên 70. Có nhiều hãng sản
xuất vi xử lý 8 bit như: Intel, Motorola, Zilog… Việc chọn vi xử lý 8085 làm kit có
những ưu việt của nó.
II.1. ĐẶC TÍNH ĐIỆN:
Nguồn cung cấp: 5v ±10%, I
max
= 170 mA.
Tần số xung đồng hồ: 5MHz. Mạch tích xung đồng hồ được tích hợp có thể sử
dụng thạch anh, mạch RC, LC bên ngoài.
Đơn vò điều khiển được tích hợp.
Có 4 vector ngắt, trong đó có một dành cho nhu cầu ngắt không ngăn được (Non
– Maskable). Và một tương thích với 8080A.
Cổng vào/ra nối tiếp.
Tính nhò phân, thập phân và thập lục phân (tính 16 bit).
Khả năng đònh đòa chỉ trực tiếp 64 Kbyte.
Phần mềm tương thích 100% với Z80.
II.2. CẤU TRÚC PHẦN CỨNG 8085:
Sơ đồ chân của vi xử lý 8085 và sơ đồ logic ở hình 8.
Trong đó chức năng của các chân được trình bày dưới đây:
Chân Ký hiệu In/Out
3 state
Ý nghóa

1,2 X
1
, X
2
I
X
1
, X
2
là 2 ngõ vào của mạch dao động. Tần số
ngõ vào được chia bơói 2 mạch chia bên trong.
Tần số làm việc phụ thuộc vào loại vi xử lý .
Đối với 8085A là 6 MHz.
Đối với 8085A1 là 12MHz.
Đối với 8085A2 là 10MHz.
3 Reset out O Cho biết CPU đang reset. Tín hiệu này có thể
dùng để reset các thành phần khác trong mạch.
4 SOD O Serial output. Ngõ ra dữ kiệu nối tiếp được xác
đònh bởi lệnh Sim.
5 SID I
Serial input ngõ vào dữ liệu nối tiếp. Nó được
nạp vào bit thứ 7 của thanh ghi A khi thực hiện
lệnh RIM.
6 TRAP I
Trap: tín hiệu ngắt không ngăn được. Ngõ vào
Trap được kích bởi cạnh lên.
7,8,9 RST 7,5;
6,5; 5,5
I
Restart Interrupt Request: là các tín hiệu ngắt

có thể ngăn được.
10 INTR I
Interrupt: là tín hiệu ngắt thông dụng có thể che
được. Lệnh được kích bằng mức.
11 INTA\ O
Interrupt Acknowledge: tín hiệu dùng để báo
cho thiết bò yêu cầu ngắt bởi tín hiệu INTR biết
rằng vi xử lý đã chấp nhận yêu cầu ngắt.
19-12

AD
7
÷AD
0
I/O-3
Address/databus: đường đòa chỉ và dữ liệu được
tích hợp chung. Ở trạng thái T
1
của chu kỳ máy
các ngõ này đóng vai trò là ngõ ra đòa chỉ. Các
trạng thái còn lại của chu kỳ máy đóng vai trò
đường dữ liệu.
20 Vss O-3
Ground
28-21

A
15
÷ A
18

O-3
Addess bus: các ngõ này dùng để xuất 8 bit đòa
chỉ cao.
30 ALE O
Addess Latch Enable: ngõ này tạo ra 1 xung ở
trạng thái T
1
của chu kỳ máy để xác đònh A
15
÷
A
8
và AD
7
÷ AD
0
là các đường đòa chỉ.
31 WR\ O-3
Write: dùng để xác đònh Microprocessor đang
thực hiện ghi dữ liệu lên bộ nhớ hay I/O.
32 RD\ O-3
Read dùng để xác đònh Microprocessor đang
thực hiện ghi dữ liệu lên bộ nhớ hay I/O.
29,
33,34
S
0
, S
1


IO/M\
O
O-3
Machine Cycle Status: 3 bit này cho biết trạng
thái chu kỳ máy.

×