Tải bản đầy đủ (.pdf) (28 trang)

BÁO cáo đồ án môn học đề tài thiết kế, mô phỏng bài tập 5 23 trên phần mềm proteus, max plus II

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (2.53 MB, 28 trang )

CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

BỘ GIAO THÔNG VẬN TẢI
TRƯỜNG ĐH GIAO THÔNG VẬN TẢI TP.HCM
KHOA ĐIỆN – ĐIỆN TỬ VIỄN THÔNG

BÁO CÁO ĐỒ ÁN MÔN HỌC
HỌC PHẦN: KĨ THUẬT SỐ
Mã học phần: 010103210408

Đề tài:

Thiết kế, mô phỏng bài tập 5.23 trên phần mềm
Proteus, Max Plus II

Giảng viên hướng dẫn: Th.s. Nguyễn Thanh Hiếu
Thành viên nhóm: Nguyễn Hữ u Tà i_2051050175_TD20D
Nguyễn Hữ u Thắ ng_2051050198_TD20D
Phan Nhậ t Tâ n_2051050178_TD20D
Nguyễn Thà nh Trung_2051050221_TD20D

Tp. Hồ Chí Minh, ngày 7 tháng 06 năm 2022

Tài liệu ôn tập – xxxx – 2022

1

TIEU LUAN MOI download : moi nhat



CLB Điện – Điện Tử GTS

Tài liệu ôn tập – xxxx – 2022

www.hocthatlamthat.edu.vn

2

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

CHƯƠNG 1. PHẦN MỀM PROTEUS
1.1. Giới thiệu về phần mềm:
Phầ n mềm Proteus cho phép mô phỏ ng hoạ t độ ng củ a mạ ch điện tử bao gồ m
phầ n thiết kế mạ ch và viết chương trình điều khiển cho cá c họ vi điều khiển như
MCS-51, PIC, AVR, …. Proteus là phầ n mềm mô phỏ ng mạ ch điện tử củ a Labcenter
Electronics, mô phỏ ng cho hầ u hết cá c linh kiện điện tử thô ng dụ ng, đặ c biệt hỗ
trợ cho cá cá c MCU như PIC, BOS1, AVR Motorola.
Phầ n mềm bao gồ m 2 chương trình: ISIS (Intelligent Schematic Iniput
System) cho phép mơ phỏ ng mạ ch và ARES (Advanced Routing and Editing
Software) dù ng để về mạ ch in.
Proteus là cô ng cụ mô phỏ ng cho cá c loạ i Vi Điều Khiển khá tố t, nó hỗ trợ cá c
dị ng VĐK PIC, 8051, PIC, dsPIC, AVR, HC11, MSP430, ARM7/LPC2000 ... cá c giao
tiếp I2C, SPI, CAN, USB, Ethenet, ... ngoà i ra cị n mơ phỏ ng cá c mạ ch số , mạ ch
tương tự mộ t cá ch hiệu quả . Proteus là bộ cô ng cụ chuyên về mô phỏ ng mạ ch

điện tử .
1.2. Đặc điểm:

 Có khả nă ng mơ phỏ ng hầ u hết trình điều khiển cho vi điều khiển.
 Chọ n đố i tượ ng và thiết lậ p thô ng số cho đố i tượ ng dễ dà ng.
 Xuấ t ra file Netlist tương thích vớ i cá c chương trình là m mạ ch in
thô ng dụ ng. 
 Xuấ t file thố ng kê linh kiện cho mạ ch.
 ISIS tích hợ p nhiều cơ ng cụ giú p cho việc quả n lý mạ ch điện lớ n,
mạ ch điện có thể lên đến hà ng ngà n linh kiện phụ c vụ cho thiết kế
mạ ch chuyên nghiệp.
 Thiết kế theo cấ u trú c (hierachical design).
 Khả nă ng tự độ ng đá nh số linh kiện.
1.3. Tính năng:
 Cá c tính nă ng cơ bả n mà mộ t phầ n mềm thiết kế mạ ch in đá p ứ ng:
 Tạ o hình dạ ng và kích thướ c bả n mạ ch.
 Cho phép nhậ p thư viện linh kiện. Cho phép tạ o thư viện linh kiện mớ i.
 Xoay, lậ t linh kiện.

Tài liệu ôn tập – xxxx – 2022

3

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn


 Kiểm tra cá c xung độ t mạ ch như: khoả ng cá ch tố i thiểu giữ a hai linh
kiện; khoả ng cá ch tố i thiểu giữ a hai đườ ng mạ ch; chậ p đườ ng mạ ch
như châ n đấ t đấ u vớ i châ n nguồ n;...
 Tự độ ng chạ y đườ ng mạ ch.

* Vẽ sơ đồ nguyên lý:
Có thể dễ dà ng về đượ c cá c sơ đồ mạ ch điện tử trên Proteus mộ t cá ch dễ
dà ng và nhanh chó ng. Ban lấ y linh kiên mong muố n từ thư viện củ a Proteus, sau
đó kết nố i cá c linh kiện lạ i vớ i nhau để tạ o ra mộ t mạ ch điện tử hoà n chỉnh.

Tài liệu ôn tập – xxxx – 2022

4

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

* Mô phỏ ng:
Khả năng ứ ng dụ ng chính củ a Proteus là mơ phỏ ng, phâ n tích cá c kết quả từ
cá c mạ ch nguyên lý. Proteus giú p ngườ i sử dụ ng có thể thấ y trướ c đượ c mạ ch
thiết kế chạ y đú ng hay sai trướ c khi thi cô ng mạ ch.
Cá c cô ng cụ phụ c vụ cho việc phâ n tích mạ ch có độ chính xá c khá cao như
vơ n kế đo điện á p, ampe kế đo dò ng điện, má y đao độ ng ký.
Thiết kế mạ ch in PCB:
Là tính nă ng dễ sử dụ ng trong Proteus. Có thể tự tạ o bả n thiết kế hoặ c bắ t
Proteus là m hộ bạ n. Tự tạ o bả n thiết kế rấ t dễ dà ng chỉ cầ n bạ n đặ t nhữ ng chi tiết

và o sơ đồ và vẽ đườ ng mạ ch điện chạ y qua. Đừ ng lo lắ ng về việc vi phạ m bấ t kỳ
quy tắ c thiết kế nà o bở i vì nó sẽ tự độ ng phá t hiện ra lỗ i. Cò n nếu muố n Proteus
là m thay bạ n thì chỉ cầ n đặ t cá c chi tiết và o vị trí tương ứ ng rồ i cho chạ y tự độ ng.
Nó sẽ vẽ ra cá c cá ch đặ t đườ ng mạ ch và lự a bả n tố t nhấ t. Và hiện nay cò n có mộ t
tù y chỉnh nữ a “Auto placer”, nó yêu cầ u bạ n xá c lậ p kích thướ c bả ng bằ ng cá ch vẽ
hình dá ng và kích cỡ bả n mạ ch. Sau đó , nó tự độ ng đặ t cá c chi tiết và o trong
kh n. Sau đó tấ t cả việc bạ n phả i là m là lậ p sơ đồ mạ ch.

Tài liệu ôn tập – xxxx – 2022

5

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

Ngoà i ra, trong quá trình thiết kế mạ ch in bạ n cũ ng có thể xem hình 3D. Tính
năng này rấ t hữ u ích, nó cho phép bạ n thiết ra nhữ ng board mạ ch in đẹp theo
mong muố n củ a mình.

1.4. Hướng dẫn cách sử dụng:
 Bướ c 1: Khở i độ ng chương trình Proteus Professional:
 Bạ n chạ y chương trình Proteus Professional bằ ng cá ch nhấ p và o biểu
tượ ng

ISIS


Tài liệu ôn tập – xxxx – 2022

Professional

trên

desktop

hoặ c
6

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

chọ n Windows >> Programs >> Proteus

www.hocthatlamthat.edu.vn

>>

Professional >> ISIS

Professional.
 Sau khi phầ n mềm khở i độ ng xong thì bạ n sẽ thấ y phầ n giao diện củ a
nó như sau:

 Bướ c 2: Tạ o mớ i mộ t dự á n:
Để thự c hiện tạ o mớ i dự á n trên phầ n mềm vẽ proteus thì mọ i ngườ i có thể

là m theo cá c bướ c như sau:
 Đầ u tiên, chú ng ta sẽ click chuộ t và o mụ c New project. Mộ t bả ng thao
tá c sẽ hiện ra, ở mụ c Name, bạ n có thể điền tên củ a project tù y thích.
Lưu ý là khơ ng đượ c xó a đ i ở phía sau.

Tài liệu ơn tập – xxxx – 2022

7

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

Bấm Browse để tạo thư mục lưu. Người dùng có thể tạo thư mục ở bất kỳ đâu trên
máy tính, miễn là thuận tiện cho việc tìm kiếm là được.

Tài liệu ơn tập – xxxx – 2022

8

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn


 Mộ t bả ng mớ i sẽ hiện ra, click chuộ t và o Create a PCB layout from…
và nhấ n chuộ t và o Generic Single Player.Tiếp đến bạ n chỉ cầ n bấ m
Next rồ i Finish là đượ c.
 Bướ c 3: Mở chương trình ISIS Professional:

B
ạn nhấp vào biểu tượng Schematic Capture trên thanh công cụ của giao diện
Proteus để mở chương trình con ISIS Professional.

 Sau khi chương trình ISIS đượ c mở ra, mộ t vù ng là m việc vớ i cá c nú t
giao diện để thiết kế mạ ch sẽ xuấ t hiện như hình bên dướ i. Cá c bạ n lưu
ý trên vù ng là m việc củ a ISIS có mộ t khung v ng mà u xanh, khi vẽ

mạ ch thì bạ n phả i đả m bả o toà n bộ phầ n mạ ch bạ n vẽ phả i nằ m
trong khung vuô ng nà y.
 Bướ c 4: Tạ o phím tắ t trong proteus:
Tài liệu ơn tập – xxxx – 2022

9

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

 Đầ u tiên, chú ng ta sẽ nhìn qua gó c bên tay trá i, biểu tượ ng hình con
chuộ t có tên là Selectionmode. Ở đâ y, mọ i ngườ i có thể chọ n đườ ng
dâ y, chọ n tên linh kiện. Ở icon phía dướ i là thao tá c cho linh kiện: lấ y

hoặ c xó a bỏ linh kiện ra đều đượ c. Icon thứ tư có tên là LBL cắ t nhã n,
khi đặ t hai nhã n gầ n vớ i nhau thì chú ng sẽ nố i nhau lạ i. Tuy nhiên,
chú ng ta sẽ khô ng thể nà o thấ y đườ ng dâ y Icon Terminals Mode sẽ lấ y
output, input, power, bus,…
 Chọ n system trên thanh cơ ng cụ , sau đó nhấ n và o Set keyboard
mapping. Để tạ o phím tắ t trong proteus, bạ n hã y tìm kiếm dị ng chữ
Rotate Clockwise vớ i ký hiệu là R. Sau đó chọ n Selection mode vớ i
biểu tượ ng hình trỏ chuộ t hoặ c Component mode hay Wire label
mode đều được.
 Khi bấ m và o từ ng mode, hã y nhìn và o mụ c bên dướ i, điền và o phím cho
từ ng chứ c nă ng và bấ m Assign. Thao tá c này tứ c là bạ n đã gắ n nhữ ng
phím để thự c hiện từ ng chứ c nă ng cụ thể. Tiếp đến nhấ n OK.
 Bướ c 5: Lấy tấ t cả cá c linh kiện sử dụ ng từ thư viện củ a Proteus:

Tài liệu ôn tập – xxxx – 2022

10

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

 Để chọ n mở

www.hocthatlamthat.edu.vn

linh kiện củ a Proteus, đầ u tiên bạ n nhấ p và o

nú t Component Mode.


 Tiếp theo bạ n nhấ p và o chữ  P để mở thư viện.

Tài liệu ôn tập – xxxx – 2022

11

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

 Khi thư viện đượ c mở ra, mộ t cử a sổ sẽ xuấ t hiện như sau:
 Trong đó :
 Keywords: tìm kiếm linh kiện
 Category và Sub-category: chứ a cá c thư viện linh kiện trong
chương trình Proteus
 Results: hiển thị cá c linh kiện khi đượ c chọ n trong thư viện
 Schematic Review: hiển thị hình dạ ng củ a linh kiện
 PCB Preview: hiển thị sơ đồ châ n PCB củ a linh kiện
 Trong cử a sổ chọ n linh kiện nà y bạ n gõ tên linh kiện cầ n tìm và o ơ
Keywords. Ví dụ , bạ n tìm IC 555, hã y gõ 555 và o ô Keywords thì IC
555 và tấ t cả cá c linh kiện liên quan đến 555 sẽ xuấ t hiện tự độ ng ở
phầ n Results. Bạ n double click và o IC nà y để chọ n nó . Nhữ ng linh kiện
đã đượ c chọ n sẽ xuấ t hiện ở trong ô Devices.
 Bạ n thự c hiện tương tự và lấ y thêm cá c linh kiện: điện trở , tụ hó a, tụ
thườ ng, led đơn, nguồ n pin.


Tài liệu ôn tập – xxxx – 2022

12

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

 Sau khi đã lấ y đầ y đủ cá c linh kiện từ thư viện, bạ n nhấ p và o nú t OK để
đó ng cử a sổ thư viện trở về mà n hình thiết kế.

* Lưu ý: Cá c linh kiện đượ c chọ n phả i có sơ đồ châ n PCB cị n nếu khơ ng bạ n phả i
tạ o sơ đồ châ n linh kiện khi chuyển sang phầ n thiết kế mạ ch in.
 Bướ c 6: Đưa linh kiện ra ngồ i mà n hình thiết kế:
 Nhấ p chuộ t và o linh kiện cầ n lấ y trong ơ Devices, sau đó di chuyển con
trỏ ra ngồ i mà n hình thiết kế nơi cầ n đặ t linh kiện và click chuộ t thì
linh kiện sẽ đượ c đặ t tạ i đó .
 Bạ n di chuyển hết linh kiên ra ngồ i mà n hình thiết kế như hình sau:

* Di chuyển linh kiện:
 Để di chuyển linh kiện từ vị trí nà y đến vị trị khá c, bạ n thao tá c như sau:

Tài liệu ôn tập – xxxx – 2022

13

TIEU LUAN MOI download : moi nhat



CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

 Nhấ p và giữ trá i chuộ t và o linh kiện cầ n di chuyển, sau đó rê chuộ t đến
vị trí mớ i và thả chuộ t ra. Bạ n cũ ng có thể dù ng lệnh Block Move trên
thanh cơ ng cụ di chuyển linh kiện.

* Xoay linh kiện:
 Để xoay cá c linh kiện bạ n thao tá c như sau:
 Đặ t con trỏ lên linh kiện cầ n xoay sau đó bấ m phả i chuộ t, bạ n chọ n
cá c lệnh xoay (rotate) theo chiều kim đồ ng hồ , ngượ c chiều kim
đồ ng hồ , xoay 180°. Bạ n có thể  lậ t (mirror) linh kiện theo chiều
ngang hay chiều dọ c cũ ng từ cử a sổ tắ t nà y. Bạ n cũ ng có thể dù ng
cơ ng cụ Block Rotate trên thanh cơ ng cụ để xoay linh kiện.

* Xó a linh kiện:
 Bạ n để con trỏ lên linh kiện cầ n xó a rồ i bấ m phả i chuộ t sau đó bạ n chọ n
lệnh Delete Object từ shortcut menu. Bạ n cũ ng có thể dù ng phím
Delete để xó a linh kiện hoặ c dù ng cô ng cụ Block Delete trên thà nh
cô ng cụ để xó a linh kiện.

Tài liệu ơn tập – xxxx – 2022

14

TIEU LUAN MOI download : moi nhat



CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

 Bướ c 7: Thay đổ i thô ng số kỹ thuậ t củ a linh kiện:
 Để vẽ mạ ch mộ t cá ch nhanh chó ng chú ng ta khơ ng nhấ t thiết phả i lấ y
linh kiện có cá c thơ ng số chính xá c, nhấ t là trong mạ ch có nhiều linh
kiện giố ng nhau nhưng khá c thô ng số kỹ thuậ t. Nếu lấ y từ ng linh kiện
đú ng vớ i cá c thô ng số yêu cầ u thì sẽ mấ t rấ t nhiều thờ i gian và đô i khi
trong thư viện khơ ng có linh kiện vớ i thơ ng số mình cầ n tìm. Vì vậ y, ta
cầ n phả i thay đổ i cá c thô ng số kỹ thuậ t cho linh kiện.
 Ví dụ : Sau khi đặ t điện trở  ra ngồ i mà n hình thiết kế, bạ n double click
và o linh kiện nà y, mộ t cử a sổ sẽ hiện ra bạ n tiến hà nh thay đổ i tên và
giá trị củ a điện trở và o 2 ô Part Reference và Resistance tương ứ ng.
Cuố i cù ng bạ n nhấ p chọ n OK để hoà n tấ t việc chỉnh sử a.

 Bướ c 8: Bố trí, sắ p xếp lạ i linh kiện cho hợ p lý:
 Bạ n dù ng cá c lệnh di chuyển linh kiện, lậ t linh kiện,…như đã trình bà y ở
trên để bố trí, sắ p xếp lạ i cá c linh kiện trong mạ ch sao cho thậ t hợ p lý
Tài liệu ôn tập – xxxx – 2022

15

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn


trướ c khi tiến hà nh bướ c tiếp theo. Mụ c đích củ a việc là m nà y là là m
cho sơ đồ mạ ch đượ c rõ rà ng khi quá trình thiết kế mạ ch đượ c hoà n tấ t.
 Bướ c 9: Nố i dâ y:
 Sau khi lấ y và sắ p xếp cá c linh kiện theo mong muố n, bạ n tiến hà nh nố i
cá c châ n linh kiện cho mạ ch. Bạ n tiến hà nh như sau:
 Đặ t con trỏ trên châ n linh kiện cầ n nố i dâ y cho đến khi ô vuô ng mà u
đỏ xuấ t hiện sau đó bạ n click chuộ t và o châ n linh kiện và chế độ nố i
dâ y đượ c bắ t đầ u. Bạ n rê chuố t đến châ n linh kiện cầ n nố i khá c và
click chuộ t mộ t lầ n nữ a để kết thú c quá trình nố i dâ y. Bạ n thao tá c
tương tự như vậ y cho đến khi hoà n thà nh sơ đồ mạ ch.
 Để xó a đườ ng nố i dâ y sai, bạ n nhấ p phả i chuộ t trên đườ ng dâ y nố i
và chọ n Delete Wire hoặ c double click phả i trên đườ ng dâ y nố i.
 Bướ c 10: Kiểm tra sơ đồ mạ ch nguyên lý:
 Kiểm tra sơ đồ mạ ch sau khi hoà n thà nh xong mạ ch thiết kế là rấ t quan
trong, nó giú p bạ n tìm đượ c nhữ ng lỗ i mà trong quá trình thiết kế bạ n
chưa phá t hiện ra đượ c.
 Để kiểm tra lỗ i ta thao tá c như sau:
 Trên thanh cô ng cụ , bạ n chọ n Tool >> Electrical Rule Check.
 Nếu có thơ ng lỗ i bạ n tìm cá ch khắ c phụ c cho đến khi khơ ng cị n lỗ i
và nhậ n đượ c dị ng thơ ng bá o (No ERC errors found) như hình dướ i
đâ y nhé.

 Sau khi kiểm tra và hiệu chỉnh sơ đồ mạ ch như mong muố n bạ n nhớ
lưu lạ i.

Tài liệu ôn tập – xxxx – 2022

16


TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

 Mạ ch dao độ ng đa hà i phi ổ n dù ng IC 555 đượ c vẽ bằ ng chương trình
ISIS củ a Proteus như sau:

1.5. Ưu điểm và nhược điểm của phần mềm:
* Ưu điểm:
 Dễ dạ ng tạ o ra mộ t sơ đồ nguyên lý từ đơn giả n đến phứ c tạ p.
 Dễ dà ng chính sử a cá c đặ c tính củ a linh kiến trên sơ đồ nguyên lý.
 Hỗ trợ kiểm tra lỗ i thiết kế trên sơ đồ nguyên lý. Có thể xem và lưu lạ i
phầ n bá o lỗ i.
 Phầ n mềm chạ y mô phỏ ng và phâ n tích cá c tính chấ t củ a mộ t mạ ch điện
mộ t cá ch chính xá c.
 Proteus cung cấ p cho ngườ i sử dụ ng cô ng cụ biên dịch cho cá c họ vi xử lý
như MSC51, AVR, HC11,... qua đó tạ o ra cá c tậ p tin .hex dù ng để nạ p cho vi
xử lý và tậ p tin .dsl dù ng để xem và chạ y kiếm tra từ ng bướ c trong q
trình mơ phỏ ng.
 Phầ n mềm cung cấ p rấ t nhiều mơ hình linh kiện có chứ c nă ng mô phỏ ng
từ cá c vi điều khiển thô ng dụ ng đến cá c link kiện ngoạ i vi như: LED, LCD,
Keypad, cổ ng RS232,.. cho phép ngườ i sử dụ ng mô phỏ ng từ mộ t hệ vi
điều khiển hoà n chỉnh đến việc xâ y dự ng phầ n mềm cho hệ thố ng đá p ứ ng
cá c giao thứ c vậ t lý. Ngồ i ra, Proteus cị n cho phép bạ n tự tạ o link kiên
tương tá c độ ng do đó bạ n có thể thự c hiện cá c mơ phỏ ng có tương tá c
giố ng như hoạ t độ ng củ a mộ t mạ ch thậ t.


Tài liệu ôn tập – xxxx – 2022

17

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

* Nhượ c điểm:
 Phầ n về mạ ch có giao diện khơ ng đượ c đẹp và hấ p dẫ n.
1.6. Ứng dụng:
 Phầ n mềm nà y giú p thiết kế bả ng mạ ch in dự a trên sơ đồ mạ ch điện đã
tạ o ra trướ c. Rồ i vẽ lên bả ng mạ ch điện tử , nó là mộ t bả ng nền cá ch điện
có lắ p cá c linh kiện đượ c hà n và kết nố i vớ i cá c đườ ng mạ ch điện theo sơ
đồ định sẵ n để thự c hiện chứ c năng xá c định. Để sả n xuấ t hà ng loạ t, hoặ c
là m bả ng mạ ch có nhiều linh kiện, hoặ c phả i bố trí lắ p cá c linh kiện nhiều
châ n,... ngườ i ta á p dụ ng cô ng nghệ mạ ch in để tạ o ra bả ng mạ ch cá ch
nhanh chó ng và chính xá c.
 Nộ i dung củ a cơ ng nghệ mạ ch in là tạ o mộ t tấ m phim chứ a hình ả nh cá c
đườ ng mạ ch, in hình nà y lên lớ p mặ t đồ ng củ a tấ m nguyên liệu, sau đó
khoan lỗ và ă n mị n đồ ng ở phầ n ngoà i cá c đườ ng mạ ch. Tù y theo nhu cầ u
là m mạ ch mà hiện nay mạ ch in đượ c đặ c trung vớ i số lớ p khá c nhau:
 Mạ ch in ba lớ p có hai mặ t đồ ng, dù ng trong cá c thiết bị điện tử phứ c tạ p
như hệ thố ng đo lườ ng,...

Tài liệu ôn tập – xxxx – 2022


18

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

 Mạ ch in nhiều lớ p hơn, dù ng trong thiết bị cầ n tiết kiệm khô ng gian.

 Mạ ch in nă m lớ p, tương đương vớ i ép hai loạ i kể trên, có ba lớ p đồ ng và
hai lớ p cá ch điện, dù ng trong cá c hệ phứ c tạ p cao như máy tính cá
nhân,...

 Mạ ch in hai lớ p có mộ t mặ t đồ ng, dù ng phổ biến ở  chuộ t má y tính,
cá c thiết bị â m thanh dâ n dụ ng, cá c điều khiển quạ t, lị vi só ng,...

Tài liệu ôn tập – xxxx – 2022

19

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

 Hầ u hết các phầ n mềm thiết kế mạ ch in hiện là m việc trên máy tính

cá nhân ở mơ i trườ ng MS Windows. Tạ i cá c hã ng chế tạ o má y lớ n, như
thiết kế bo mạ ch chủ  cho má y điện tố n, điện thoạ i thơ ng minh,... thì
phầ n mềm nà y là m việc ở cá c máy tính trạm.

Tài liệu ôn tập – xxxx – 2022

20

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

Tài liệu ôn tập – xxxx – 2022

www.hocthatlamthat.edu.vn

21

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

CHƯƠNG 2. GIẢI VÀ THIẾT KẾ MẠCH
Đề bà i: 5.23- Thiết kế mạ ch điếm song song JK-FF ( xung clock cạ nh xuố ng )
vớ i yêu cầ u khô ng sử dụ ng trong dã y đếm đượ c đưa về trạ ng thá i 111 ở xung
clock kế tiếp

Bà i là m:
2.1. Giải mạch
Bả ng kích thích củ a JK-FF

Q
0
0
1
1

Q+
0
1
0
1

J
0
1
X
X

K
X
X
1
0

Bảng trạng thái hoạt động của bộ đếm
TT Hiện Tại


TT Kế Tiếp

J
J2

K
K2

Q2

Q1

Q0

Q 2+

Q 1+

Q0+

0

0

0

0

1


0

1

0

0

1

1

1

1

1

0

1

0

0

1

1


0

1

1

1

0

0

1

0

0

1

1

0

1

0

1


1

1

1

1

1

0

1

1

1

1

1

1

0

0

0


J
J1

K
K1

J
J0

K0

Lập bìa k cho các hàm ngõ vào
Tài liệu ơn tập – xxxx – 2022

22

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS
J2

www.hocthatlamthat.edu.vn

Q1 Q 0

0
0


Q2

0
1

0
1

1
1

1
0

1

1

X

X

X

X

0

0


1

1

J 2=Q0

K 2 Q1 Q 0

0

Q2

0

1
X

1
X

0
X

1

X

1

K 2=Q1 Q0

J1

Q1 Q 0

0

0
Q2

1

0

1

1
0

1

0

1

1

X

X


1

1

1

X

X

J 1=Q0 +Q 0=1

K 1 Q1 Q 0

Tài liệu ôn tập – xxxx – 2022

23

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

0
Q2

0

www.hocthatlamthat.edu.vn


0
1

1
1

1
0

0

X

X

1

1

X

X

1

0

0

1


1

K 2=Q 0
J0

Q2

Q1 Q 0

0

1

1

1
0

0

X

X

1

1

X


X

1

0

1

1

J 0=Q1
K 0 Q1 Q 0

0
Q2

0

1

1

0

0

X

1


X

1

X

1

X

K 0 =Q1

Tài liệu ôn tập – xxxx – 2022

24

TIEU LUAN MOI download : moi nhat


CLB Điện – Điện Tử GTS

www.hocthatlamthat.edu.vn

2.2. Mô phỏng trên phần mềm Proteus

Tài liệu ôn tập – xxxx – 2022

25


TIEU LUAN MOI download : moi nhat


×