Tải bản đầy đủ (.pdf) (152 trang)

Nghiên cứu một số giải pháp nâng cao hiệu năng của thuật toán mã hóa

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (2.65 MB, 152 trang )

BỘ GIÁO DỤC VÀ ĐÀO TẠO

BỘ QUỐC PHÒNG

VIỆN KHOA HỌC VÀ CÔNG NGHỆ QUÂN SỰ
-------------------------

NGHIÊN CỨU MỘT SỐ GIẢI PHÁP NÂNG CAO
HIỆU NĂNG CỦA THUẬT TỐN MÃ HĨA

LUẬN ÁN TIẾN SĨ TOÁN HỌC

HÀ NỘI - 2022


BỘ GIÁO DỤC VÀ ĐÀO TẠO

BỘ QUỐC PHÒNG

VIỆN KHOA HỌC VÀ CÔNG NGHỆ QUÂN SỰ
------------------------

NGHIÊN CỨU MỘT SỐ GIẢI PHÁP NÂNG CAO

HIỆU NĂNG CỦA THUẬT TỐN MÃ HĨA

Ngành: Cơ sở toán học cho tin học
Mã số: 9 46 01 10

LUẬN ÁN TIẾN SĨ TOÁN HỌC


HÀ NỘI - 2022


i
LỜI CAM ĐOAN
Tơi xin cam đoan, đây là cơng trình nghiên cứu của riêng tôi. Những nội
dung, số liệu và kết quả trình bày trong luận án là hồn tồn trung thực và chưa
có tác giả nào cơng bố trong bất cứ một cơng trình nào khác. Các tài liệu tham
khảo được trích dẫn đầy đủ.
Hà Nội, ngày

tháng năm 2022

Tác giả


ii
LỜI CẢM ƠN
Luận án được thực hiện tại Viện Khoa học và Cơng nghệ Qn sự - Bộ
Quốc phịng. Lời đầu tiên, NCS xin bày tỏ lòng biết ơn sâu sắc tới Phó Giáo sư
Tiến sĩ , đã tận tình giúp đỡ, chỉ dẫn cho NCS phương pháp nghiên cứu, kinh
nghiệm, kiến thức khoa học và kiểm tra, đánh giá các kết quả nghiên cứu cho
NCS.
NCS xin cảm ơn Ban Giám đốc Viện Khoa học và Công nghệ Quân sự,
Thủ trưởng và cán bộ, nhân viên Phòng Đào tạo, Viện Công nghệ Thông tin là
cơ sở đào tạo và đơn vị quản lý đã tạo mọi điều kiện, hỗ trợ, giúp đỡ NCS trong
suốt quá trình học tập, nghiên cứu.
NCS xin cảm ơn Ban lãnh đạo Viện Hàn lâm Khoa học và Công nghệ Việt
Nam, Thủ trưởng Viện Công nghệ Thơng tin, Phịng Cơng nghệ và Giải pháp
Phần mềm đã động viên, hỗ trợ, tạo điều kiện cho NCS được học tập, nghiên cứu.

NCS xin bày tỏ lòng biết ơn chân thành tới các thầy, cô của Viện Khoa
học và Công nghệ quân sự, các nhà khoa học trong và ngoài quân đội đã chỉ
bảo và nâng đỡ các kết quả học tập, nghiên cứu của NCS.
NCS xin được cảm ơn bạn bè, đồng nghiệp và rất nhiều người đã luôn
động viên, chia sẻ, giúp đỡ NCS trong suốt thời gian học tập, nghiên cứu.
Sau cùng, NCS tri ân công ơn của bố mẹ, sự giúp đỡ của gia đình và
xin dành lời cảm ơn đặc biệt tới chồng con, những người đã luôn ở bên cạnh,
động viên và là chỗ dựa về mọi mặt giúp NCS vượt qua khó khăn để hồn
thành cơng việc.
Tác giả


iii
MỤC LỤC
Trang
DANH MỤC KÝ HIỆU VÀ CHỮ VIẾT TẮT ................................................ v
DANH MỤC CÁC BẢNG................................................................................ x
DANH MỤC CÁC HÌNH VẼ ......................................................................... xi
MỞ ĐẦU ........................................................................................................... 1
Chương 1. TỔNG QUAN VỀ THUẬT TOÁN MÃ HỐ ............................... 7
1.1 Thuật tốn mã khối..................................................................................... 8
1.2 Mã hố bất đối xứng ................................................................................. 15
1.2.1 Thuật tốn mã hóa RSA ......................................................................... 16
1.2.2 Thuật toán dựa trên đường cong Elliptic ............................................... 17
1.3 Tình hình nghiên cứu trong và ngồi nước đối với việc nâng cao hiệu
năng một số thuật toán mã hóa ........................................................................ 18
1.3.1 Tình hình nghiên cứu ngồi nước .......................................................... 18
1.3.2 Tình hình nghiên cứu trong nước ........................................................... 21
1.3.3 Một số vấn đề tồn tại và hướng nghiên cứu phát triển .......................... 23
1.4 Kết luận chương 1 ..................................................................................... 26

Chương 2. NÂNG CAO ĐỘ AN TOÀN VÀ HIỆU NĂNG CAO THUẬT
TỐN MÃ HĨA AES .................................................................................... 27
2.1 Một số khái niệm ....................................................................................... 27
2.2 Thuật toán AES ......................................................................................... 30
2.3 Nâng cao độ an tồn và hiệu năng cao thuật tốn AES ............................ 32
2.3.1 Sự khuyếch tán trong tầng biến đổi tuyến tính của mã khối có cấu
trúc SPN .......................................................................................................... 32
2.3.2 Giải pháp nâng cao độ an tồn của thuật tốn AES ............................... 37
2.3.3 Nâng cao hiệu năng của thuật toán AES cải tiến với ma trận MDS
mới ................................................................................................................... 43
2.4 Kết luận chương 2 ..................................................................................... 53


iv
Chương 3. NÂNG CAO ĐỘ AN TOÀN VÀ HIỆU NĂNG THUẬT TỐN
MÃ HĨA DỰA TRÊN ĐƯỜNG CONG ELLIPTIC ..................................... 55
3.1 Tổng quan về đường cong Elliptic ............................................................ 55
3.1.1 Cơ sở tốn học ........................................................................................ 55
3.1.2 Nhóm các điểm của đường cong elliptic trên trường hữu hạn ............. 58
3.1.3 Nhân vô hướng của một điểm trên đường cong Elliptic ........................ 60
3.1.4 Đường cong Elliptic trên trườnghữu hạn Fq ......................................... 60
3.2 Phương pháp trao đổi khố mã an tồn hệ mật dựa trên đường cong
Elliptic ............................................................................................................. 61
3.2.1 Bài toán logarit rời rạc ........................................................................... 61
3.2.2 Ánh xạ song tuyến .................................................................................. 62
3.2.3 Đường cong Elliptic ............................................................................... 64
3.2.4 Các giao thức mật mã sử dụng ánh xạ song tuyến ................................. 68
3.2.5 Kết quả thử nghiệm ứng dụng ................................................................ 71
3.3 Nghiên cứu, đề xuất xây dựng thuật toán hiệu quả nhân nhanh đa thức
với hệ số nguyên.............................................................................................. 73

3.3.1 Biến đổi Fourier nhanh và cách thực hiện ............................................ 74
3.3.2 Biểu diễn các phần tử trường và phép nhân nhanh trong vành đa thức .... 74
3.3.3 Sử dụng định lý phần dư của Trung Hoa để phân chia tính toán giữa
các bộ vi xử lý ................................................................................................. 81
3.3.4 Thực hiện thuật toán nhân nhanh đa thức trên bộ vi xử lý 64 bit .......... 85
3.4 Kết luận chương 3 ..................................................................................... 89
KẾT LUẬN ..................................................................................................... 91
DANH MỤC CÁC CƠNG TRÌNH KHOA HỌC ĐÃ CÔNG BỐ ................ 93
TÀI LIỆU THAM KHẢO ............................................................................... 95
PHỤ LỤC ..................................................................................................... PL1


v
DANH MỤC KÝ HIỆU VÀ CHỮ VIẾT TẮT


Dấu đồng dư



Dương vơ cùng (tương đương vói +∞)

aij

Byte ở dịng i, cột j

char(K)

Đặc số của trưòng


deg(f)

Bậc của đa thức f.

det

Định thức

E

Ký hiệu đường cong elliptic.

EK ( D)

Hàm mã hóa AES với khóa bí mật K

E()

Nhóm các điểm của E trên trường .

E[m]

Nhóm m-xoắn (m nhỏ nhất sao cho mP = ).

End[E]

Vành các tự đồng cấu của E.
Ký hiệu cho trường hữu hạn chứa p phần tử với p là số nguyên

p


tố.
Ký hiệu cho trường hữu hạn chứa 2n phần tử.

2n

G

Một điểm trên E sinh ra một nhóm cyclic cấp N.

g

Nhóm cyclic được sinh bởi g.

Gcd

Ước số chung lớn nhất (greatest common divisor)

gcd(a, b)

Ước chung lớn nhất của a và b

K

Khóa bí mật

K*

*


,

Nhóm nhân và trường đóng đại số của trường

.

Ki

Khóa bí mật của nút i

kQ

Bội k lần điểm Q, nghĩa là Q + Q + ... + Q, k số hạng.

L

Ánh xạ tuyến tính


vi
Tập các số tự nhiên
N∗

Tập hợp số tự nhiên khác 0

Nb

Số các cột trong trạng thái

Nk


Số các cột của khóa mã

O(f(n))

Hàm g(n) sao cho |g(n)| C|f(n)| với C là hằng số, n đủ lớn.

o(f(n))

Hàm g(n) sao cho limn  g (n) / f (n)   0 .

ord(g)

Cấp của phần tử g trong nhóm.

P4. Nb1

Số các cột trong trạng thái
Tập các số thực
Tập các số nguyên

AES

Chuẩn mã hóa tiên tiến (Advanced Encryption Standard)

ASIC

Vi mạch tích hợp chuyên dụng (Application-specific
Integrated Circuit)


Blowfish

Một thuật tốn mã hóa

CA

Đơn vị cấp phát chứng thư (Certificate Authority)

CBC

Một phương thức mật mã khối CBC (Cipher Block Chaining
mode)

CFB

Một phương thức mật mã khối dựa trên chế độ phản hồi bản
mã CFB (Cipher FeedBack Mode)

CIA

Bí mật, tồn vẹn, sẵn sàng (Confidentiality, Intergrity,
Availability)

CRC

Kiểm tra phần dư Cyclic (Cyclic Redundancy Check)

CRT

Định lý phần dư Trung Hoa (Chinese Remainder Theorem)


DES

Chuẩn mã hóa dữ liệu (Data Encryption Standard)


vii
DFT

Biến đổi Fourier rời rạc

EC

Đường cong Elliptic (EllipticCurve)

ECB

Chế độ mã điện tử (Electronic Codebook Mode)

ECC

Mật mã trên đường cong Elliptic (Elliptic Curve Cryptography)

ECC

Hệ mật trên đường cong Elliptic (Elliptic Curve Cryptosystem).

ECDH

Thuật tốn thỏa thuận khóa Diffie-Hellman dựa trên đường

cong elliptic

ECDLP

Bài toán logarith rời rạc trên đường cong elliptic (Elliptic
Curve Logarithm Problem)

ECDSA

Thuật toán chữ ký số dựa trên đường cong Elliptic
(Elliptic Curve Digital Signature Algorithm).

ECDSA

Thuật toán chữ ký số dựa trên đường cong Elliptic (Elliptic
Curve Digital Signature Algorithm)

ECIES

Hệ mã hóa tích hợp dựa trên đường cong elliptic (Elliptic
Curve Integrated Encryption System)

EMV

Tiêu chuẩn tồn cầu cho các giao dịch tín dụng và ghi nợ dựa
trên công nghệ chip (Europay, MasterCard, Visa)

FFT

Biến đổi Fourier nhanh (Fast Fourier Transform)


FIPS-197

Tiêu chuẩn Xử lý thông tin Liên bang 197 (Federal
Information Processing Standard)

FPGA

Vi mạch tích hợp cỡ lớn có thể lập trình được (Field
Programmable Gate Array)

GOST

Chuẩn mật mã quốc gia Nga (Liên Xơ trước đây)

IDEA

Thuật tốn mật mã hóa dữ liệu quốc tế (International Data
Encryption Algorithm)

IOT

Internet of Things


viii
ISE

Công cụ phần mềm thiết kế và tổng hợp vi mạch cỡ lớn có
thể lập trình được (FPGA) của Xilinx (Integrated Software

Enviroment)

ISIM

Một trình mơ phỏng đầy đủ tính năng được tích hợp trong
ISE (ISE Simulator)

LOKI

Mật mã khối được cơng bố năm 1990 để thay thế cho mật
mã DES

MDS

(Các ma trận, mã) khả tách có khoảng cách cực đại
(Maximum Distance Separable)

MIT

Học viện Công nghệ Massachusetts (Massachusetts Institute
of Technology)

NCS

Nghiên cứu sinh

NIST

Viện Công nghệ và Chuẩn Quốc gia Hoa Kỳ (NIST)
(National Institute of Standards and Technology)


OFB

Một phương thức mã hóa (Output Feedback)

OTP

Khóa mã dùng một lần (One time pad)

PGP

Một phần mềm máy tính dùng để mã hóa dữ liệu và xác thực
(Pretty Good Privacy)

Q

Trưịng số hữu tỉ

RC5

Thuật tốn mã khối khóa đối xứng do Ronald Rivest thiết kế
năm 1994, (Rivest Cipher)

RFID

Định danh tần số vơ tuyến (Radio Frequency Identification).

RSA

Rivest-Shamir-Adleman


SPN

Cấu trúc thay thế hốn vị (Substitution-Permutation Networds)

Triple DES

Ba DES (Triple Data Encription Standard)

WPA

Chuẩn bảo mật trên những mạng không dây (Wi-Fi protected
access)


ix
WPA-2

Chuẩn bảo mật thay thế cho WPA vào năm 2006 (Wi-Fi
Protected Access 2 )

WTLS

Bảo mật cho các ứng dụng sử dụng các ứng dụng không dây
WAP (Wireless Transport layer Security)

XOR

Phép toán cộng modulo 2 (Exclusive-OR)



x
DANH MỤC CÁC BẢNG
Trang
Bảng 2.1. Các giá trị của cq,p ........................................................................... 39
Bảng 2.2. Các giá trị của v1q,p .......................................................................... 39
Bảng 2.3. Danh sách ma trận MDS tựa vòng 4x4 .......................................... 41
Bảng 2.4. Bảng tổng hợp đánh giá các phương pháp thiết kế. ....................... 53
Bảng 3.1. Giá trị khóa thỏa thuận được là K = abP = a(bP) = b(aP). ............. 61
Bảng 3.2. Giá trị khóa thỏa thuận được sẽ là K = abcP .................................. 62
Bảng 3.3. Số điểm của các đường cong Elliptic tương ứng trên trường F5 .... 65
Bảng 3.4. Thoả thuận khóa mã một vịng dùng cho ba bên ............................ 68
Bảng 3.5. Các số nguyên tố được sử dụng trong phần mềm. ......................... 85
Bảng 3.6. So sánh thời gian tính tốn với phương pháp nhân cổ điển với thuật
toán đề xuất nhân đa thức bậc n từ 210 đến 218 với hệ số 256 ......................... 87
Bảng 3.7. So sánh thời gian tính tốn với phương pháp nhân cổ điển với thuật
toán đề xuất nhân đa thức bậc n từ 210 đến 218 với hệ số 512 ......................... 88


xi
DANH MỤC CÁC HÌNH VẼ
Trang
Hình 1.1. Cấu trúc chung của thuật tốn mã khối........................................... 15
Hình 2.1. Cách bố trí của trạng thái và khoá mã cho trường hợp Nb= 4 và Nk .. 29
Hình 2.2. Cấu trúc tổng thể của thuật tốn AES ............................................. 31
Hình 2.3. Mơ hình kiến trúc mã khối AES-256 theo kiến trúc đường ống .... 44
Hình 2.4. Cấu trúc một module Encryption .................................................... 44
Hình 2.5. Cấu trúc module Encryption 14 ...................................................... 44
Hình 2.6. Sơ đồ nguyên lý RTL và Tần số hoạt động core AES-256 theo kiến
trúc đường ống tồn phần................................................................................ 46

Hình 2.7. Kết quả thực hiện AES-256 theo mơ hình kiến trúc đường ống tồn
phần trên cơng cụ ISIM ................................................................................... 47
Hình 2.8. Tài ngun thiết kế AES-256 cải tiến theo kiến trúc đường ống toàn
phần ................................................................................................................. 47
Hình 2.9. Mơ hình kiến trúc mã khối AES-256 cải tiến theo kiến trúc lặp .... 48
Hình 2.10. Sơ đồ nguyên lý RTL và Tần số hoạt động core AES-256 cải tiến
theo kiến trúc lặp ............................................................................................. 48
Hình 2.11. Kết quả mô phỏng kiến trúc mã khối AES-256 cải tiến theo kiến
trúc lặp trên cơng cụ ISIM .............................................................................. 49
Hình 2.12. Tài nguyên thiết kế core AES-256 cải tiến theo kiến trúc lặp ...... 49
Hình 2.13. Mơ hình mã khối AES-256 cải tiến theo kiến trúc lai ghép ......... 50
Hình 2.14. Sơ đồ nguyên lý RTL và Tần số hoạt động core AES-256 cải tiến
theo kiến trúc lai ghép ..................................................................................... 51
Hình 2.15. Kết quả kiểm tra mô phỏng mã khối AES-256 cải tiến theo kiến trúc
lai ghép trên công cụ ISIM .............................................................................. 51
Hình 2.16. Tài nguyên thiết kế mã khối AES-256 cải tiến theo kiến trúc lai
ghép ................................................................................................................. 52


xii
Hình 3.1. Phép cộng hai điểm trên EC ............................................................ 58
Hình 3.2. Đường cong elliptic trên mặt phẳng thực ....................................... 64
Hình 3.3. Phép toán trên các điểm của đường cong elliptic ........................... 66
Hình 3.4. Thuật tốn thỏa thuận khóa nhiều người dùng ............................... 69
Hình 3.5. Ảnh gốc trước khi mã mật hóa ........................................................ 72
Hình 3.6. Ảnh giải mã mật với khóa mã sai ................................................... 72
Hình 3.7. Ảnh sau khi giải mã mật với khóa mã đúng ................................... 72
Hình 3.8. So sánh tốc độ thực hiện thuật toán nhân cổ điện với thuật toán đề
xuất nhân đa thức bậc n từ 210 đến 218 với hệ số 256 ...................................... 87
Hình 3.9. So sánh tốc độ thực hiện thuật toán nhân cổ điện với thuật toán đề

xuất nhân đa thức bậc n từ 210 đến 218 với hệ số 512 ...................................... 88


1
MỞ ĐẦU
1. Tính cấp thiết của đề tài luận án
Nhu cầu bảo mật thông tin phục vụ cho chỉ đạo, chỉ huy trong lĩnh vực
An ninh - Quốc phòng và Kinh tế - Xã hội ngày càng cao. Tuy nhiên, do sự
phát triển khoa học công nghệ, đặc biệt trong lĩnh vực Điện tử, Viễn thơng,
Tốn học và Mật mã, Thu tin mã thám,... thì thơng tin trao đổi trên các kênh
thông tin công cộng ngày càng gặp nhiều rủi ro và có nhiều mối đe dọa, vì vậy
đảm bảo an ninh, an tồn thơng tin là một vấn đề cấp bách và cần thiết.
Sự phát triển của nền kinh tế hiện đại gắn liền với sự phát triển của cơ sở
hạ tầng CNTT-TT và Internet. Mức độ nhạy cảm của dữ liệu được gửi trong
mạng thông tin công cộng (như: số liệu thẻ tín dụng, dữ liệu cá nhân, tài liệu y
tế, tài liệu tài chính…) và số người dùng cũng tăng lên. Đặt ra một thách thức
lớn đối với mật mã, đòi hỏi cần phải xây dựng các giải pháp vừa đảm bảo độ
bảo mật cao vừa nâng cao hiệu năng về mặt tính tốn.
Để nâng cao độ an tồn và bảo mật các thơng tin được mã hóa truyền
trên kênh thơng tin cơng cộng, người ta đã đưa ra nhiều thuật toán mật mã, với
độ mật và độ dài khóa ngày càng cao như: DES, Triple DES, IDEA, AES, RC5,
Blowfish, mật mã khóa cơng khai RSA, Hệ mật trên đường cong Elliptic… Tuy
nhiên, do hạn chế năng lực của các thiết bị tính tốn, thiết bị xử lý mật dữ liệu…
để tăng độ mật dữ liệu được mã hóa thì thời gian cần thiết để mã hóa và giải
mã dữ liệu tăng lên và độ phức tạp tính tốn cũng tăng theo… trong khi u
cầu chỉ đạo, chỉ huy trong An ninh - Quốc phòng và trong bảo mật thơng tin
kinh tế - xã hội địi hỏi phải: bí mật, nhanh chóng, chính xác, an tồn và tiện
dụng…. Vì vậy, nghiên cứu để nâng cao hiệu năng của thuật tốn mật mã ứng
dụng trong mã hóa và giải mã dữ liệu trong giai đoạn hiện nay là một nội dung
có tính khoa học có tính cấp thiết và thực tiễn cao.

Mặt khác, sự phát triển năng động của công nghệ phần cứng không thể
trực tiếp làm tăng được một cách đáng kể tốc độ mã hóa và giải mã các thông


2
tin, vì các thuật tốn mã hóa hiện đang sử dụng được thiết kế điển hình theo
các thuật tốn tuần tự do các giải pháp công nghệ trước đây..... do đó, chưa sử
dụng hết cơng suất của máy tính.
Vì vậy việc nghiên cứu xây dựng các thuật toán mật mã đứng trước
những yêu cầu cao hơn, không chỉ cần độ an tồn mật mã cao mà cịn phải có
hiệu năng thực hiện mã hóa và giải mã cao, cũng như khả năng làm việc thích
ứng trong các mơi trường đặc biệt..., đáp ứng nhu cầu bảo mật thông tin với
dung lượng tin mật cao, trong thời gian thực… phục vụ cho chỉ đạo, chỉ huy
trong lĩnh vực An ninh - Quốc phòng và lĩnh vực kinh tế - xã hội.
Trong nước, bảo mật thông tin là một vấn đề cấp thiết đặt ra và đang
được tập trung nghiên cứu. Ban Cơ yếu Chính phủ là cơ quan có chức năng bảo
vệ thông tin chỉ đạo, điều hành của Đảng và Nhà nước bằng kỹ thuật mật mã.
Đã có một số đề tài nghiên cứu xây dựng hệ tiêu chuẩn tham số an toàn “Hệ
tiêu chuẩn tham số an toàn cho hệ mật RSA và ứng dụng” (LATS-2011VNCKH và CNQS-Hoàng Văn Thức), “Nghiên cứu xây dựng tiêu chuẩn an
toàn cho tham số hệ mật Elliptic và ứng dụng” (LATS-2011-VNCKH và
CNQS-Nguyễn Quốc Toàn), “Nghiên cứu xây dựng một số dạng lược đồ mới
cho chữ ký số tập thể”, (LATSTH-2017, VKH và CNQS-Đặng Minh Tuấn),
hoặc các phương pháp tự động bảo mật tín hiệu tiếng nói, cơ sở dữ liệu, xây
dựng giao thức trao đổi khóa an tồn dựa trên chữ ký số như: (“Xây dựng lược
đồ chữ ký số an toàn từ các lược đồ định danh” (Võ Tùng Linh, Tạp chí An
tồn thơng tin, Vol 08, N02,2018; “Một lược đồ chữ ký số xây dựng trên tính
khó của việc giải đồng thời 2 bài tốn logarit rời rạc và phân tích số/khai căn”,
Tạp chí Nghiên cứu KH&CNQS, 04-2019, “Giải pháp nâng cao độ an toàn cho
lược đồ chữ ký số” Hồ Ngọc Duy, Vũ Long Vân…, SOIS -2017 Thành phố Hồ
Chí Minh; …). Một số cơng trình nghiên cứu mang tính lý thuyết, học thuật, đề

xuất xây dựng hệ tiêu chuẩn an toàn cho các tham số cho các hệ mật sử dụng


3
trong bảo mật thơng tin; thuật tốn mơ phỏng thực hiện có tính minh chứng cho
giải pháp đề xuất,… Vì vậy việc nghiên cứu một số giải pháp nâng cao hiệu
quả tạo tham số an toàn và cũng như nâng cao hiệu năng của các thuật tốn mã
hóa và giải mã dưới góc độ tối ưu hóa thời gian tính tốn, cũng như tối ưu hóa
bộ nhớ của hệ thống dành cho thực hiện các thuật toán mật mã, hoặc tối thiểu
hóa độ phức tạp tính tốn…cịn là những nội dung nghiên cứu mang tính thời
sự và có ý nghĩa khoa học và tính cấp thiết cao.
Một số đề tài khác nghiên cứu cứng hóa các thuật tốn mật mã; tuy
nhiên, do các phần cứng chuyên dụng phải nhập ngoại, cơng cụ để cứng hóa
hạn chế,… nên kết quả đạt được còn hạn chế. Một số kết quả bảo mật thuộc
lĩnh vực An ninh - Quốc phòng trên thế giới đã được áp dụng, nhưng khơng
được cơng bố,… Vì vậy hướng nghiên cứu nâng cao hiệu năng của thuật toán
mật mã bằng phương pháp hiệu quả tạo các tham số an tồn và song song hóa
các thuật tốn mã hóa lựa chọn, sẽ là một hướng nghiên cứu đúng đắn, có tính
cấp thiết, khoa học và thực tiễn trong lĩnh vực bảo mật và an tồn thơng tin.
Xuất phát từ tình hình thực tế và cách đặt vấn đề như trên, Nghiên cứu
sinh đã chọn đề tài “Nghiên cứu một số giải pháp nâng cao hiệu năng của
thuật toán mã hóa” nhằm mục đích nghiên cứu cơ sở tốn học các thuật tốn
mật mã dùng trong bảo mật thơng tin, từ đó nghiên cứu và đề xuất một số
phương pháp hiệu quả tạo tham số an toàn và nâng cao hiệu năng các thuật tốn
đề xuất, có thể ứng dụng trong thực tiễn.
2. Mục tiêu nghiên cứu
Mục tiêu của luận án là:
- Nghiên cứu nâng cao hiệu năng của một số thuật tốn mã hóa.
- Cụ thể là: Nghiên cứu đề xuất, xây dựng phương pháp hiệu quả tạo
tham số an toàn và nâng cao hiệu năng thực hiện mã hóa và giải mã, có khả



4
năng làm việc thích ứng trong các mơi trường cho một số hệ mật ứng dụng
trong bảo mật thông tin.
3. Đối tượng và phạm vi nghiên cứu
Đối tượng nghiên cứu của luận án: Luận án tập trung vào nghiên cứu các
hệ mật, phương pháp hiệu quả tạo tham số an tồn và nâng cao hiệu năng một số
thuật tốn mã hóa cho một số hệ mật ứng dụng trong bảo mật thông tin.
Phạm vi nghiên cứu của luận án: là các thuật tốn mật mã dùng trong
bảo mật thơng tin và giải pháp nâng cao hiệu năng của thuật toán mã hóa AES256; hệ mật dựa trên đường cong elliptic và giải pháp nâng cao độ an toàn và
hiệu năng cao thuật tốn mã hóa dựa trên đường cong Elliptic.
4. Nội dung nghiên cứu
Để đạt được mục tiêu đã đặt ra. Luận án thực hiện các nội dung nghiên
cứu sau:
Nghiên cứu tổng quan về thuật toán mật mã dùng trong bảo mật thơng tin.
Nâng cao hiệu năng của thuật tốn mã hóa AES-256, trên cơ sở xây dựng
và lựa chọn ma trận MDS mới có các tính chất mật mã tốt cho tầng khuếch tán,
đồng thời lựa chọn một số mơ hình kiến trúc cứng hóa và sử dụng linh hoạt các
nguồn tài nguyên phần cứng; cũng như mô phỏng thực tế các giải pháp đề xuất
trên công cụ ISIM của ISE.
Nghiên cứu ứng dụng hệ mật dựa trên đường cong elliptic và phương
pháp trao đổi khóa mã an tồn.
Nghiên cứu đề xuất xây dựng thuật toán mới, hiệu quả nhân nhanh đa
thức với hệ số nguyên sử dụng biến đổi Fourier nhanh (Fast Fourier Transform)
và định lý phần dư Trung Hoa và thực hiện thực tế thuật toán đề xuất trên các
bộ vi xử lý 32-bit hoặc 64-bit.
5. Phương pháp nghiên cứu
Phương pháp nghiên cứu được sử dụng trong luận án bao gồm:



5
- Nghiên cứu kết hợp giải tích tốn học với phương pháp thực nghiệm
cứng hóa và xây dựng chương trình nâng cao hiệu năng một số thuật tốn mã
hóa cho một số hệ mật ứng dụng trong bảo mật thông tin.
6. Ý nghĩa khoa học và thực tiễn
Ý nghĩa khoa học: Luận án đóng góp một số kết quả nghiên cứu mới
nhằm nâng cao hiệu năng của một số thuật tốn mã hóa. Cụ thể, luận án đã:
- Nghiên cứu một cách hệ thống về các thuật toán mật mã dùng trong bảo
mật thơng tin, từ đó đề xuất giải pháp nâng cao hiệu năng của thuật tốn mã
hóa AES-256. Nghiên cứu hệ mật dựa trên đường cong elliptic và nghiên cứu,
đề xuất xây dựng thuật toán hiệu quả nhân nhanh đa thức với hệ số nguyên, tạo
ra một phương pháp hiệu quả nhân nhanh các đa thức ứng dụng trong thực tiễn;
đặc biệt là trong các ứng dụng mật mã.
Ý nghĩa thực tiễn: Từ các kết quả đạt được ở trên, luận án đã: Nghiên
cứu, đề xuất kiến trúc, thực thi cứng hóa hệ thống mã hóa và giải mã nhằm nâng
cao hiệu năng thuật tốn mã hóa AES - 256. Xây dựng thuật tốn, chương trình
hiệu quả thực hiện nhân nhanh đa thức với hệ số nguyên, tạo ra một phương
pháp hiệu quả nhân nhanh các đa thức ứng dụng trong thực tiễn; đặc biệt là
trong các ứng dụng mật mã, đáp ứng nhu cầu bảo mật thông tin trong các lĩnh
vực kinh tế - xã hội và an ninh - quốc phòng.
7. Bố cục của luận án
Luận án gồm 03 chương cùng với các phần mở đầu, kết luận, tài liệu
tham khảo, danh mục các cơng trình khoa học đã được công bố của tác giả và
phần phụ lục.
Chương 1. Tổng quan về thuật tốn mã hóa
Nghiên cứu tổng quan và cơ sở toán học các thuật tốn mật mã dùng
trong bảo mật thơng tin
Chương 2. Nghiên cứu nâng cao độ an toàn và hiệu năng cao thuật tốn
mã hóa AES



6
Chương này trình bày một số giải pháp nâng cao độ an tồn và hiệu năng
thuật tốn mã hóa hệ mật AES, trên cơ sở xây dựng và lựa chọn ma trận MDS
mới có các tính chất mật mã tốt cho tầng khuếch tán, đồng thời lựa chọn một
số mô hình kiến trúc cứng hóa và sử dụng linh hoạt các nguồn tài nguyên phần
cứng; cũng như mô phỏng thực tế các giải pháp đề xuất trên công cụ ISIM của
ISE. Các kết quả đạt được cho phép khẳng định có thể lựa chọn ma trận MDS
mới có các tính chất mật mã tốt cho tầng khuếch tán, đồng thời lựa chọn một
số mơ hình kiến trúc cứng hóa các thuật tốn mã hóa phức tạp để nâng cao độ
an tồn và hiệu năng của chúng trong bảo mật thơng tin.
Chương 3. Nghiên cứu, đề xuất thuật toán nâng cao độ an toàn và hiệu
năng cao dựa trên đường cong Elliptic
Chương này tập trung nghiên cứu về đường cong elliptic và mật mã dựa
trên đường cong Elliptic, đường cong Elliptic mạnh và cách tạo chúng; cũng
như ứng dụng phương pháp trao đổi khóa mã an tồn và những ứng dụng mới
của Hệ mật sử dụng cơ chế nhóm điểm trên đường cong Elliptic.
Nghiên cứu, đề xuất ứng dụng thuật toán mới, hiệu quả nhân nhanh đa
thức với hệ số nguyên sử dụng biến đổi Fourier nhanh (Fast Fourier Transform)
và định lý phần dư Trung Hoa và thực hiện thực tế thuật toán đề xuất. Các kết
quả so sánh thời gian tính bằng thuật tốn cổ điển với thời gian thực hiện
trên các bộ vi xử lý đa lõi (4 nhân) dựa trên biến đổi Fourier và định lý phần
dư Trung Hoa chỉ rõ ưu điểm của thuật toán đề xuất là tốc độ tính tốn dựa
trên biến đổi Fourier và định lý phần dư Trung Hoa nhanh hơn rất nhiều lần
tốc độ tính tốn bằng phương pháp cổ điển.


7
Chương 1

TỔNG QUAN VỀ THUẬT TỐN MÃ HỐ
Chương 1 trình bày tổng quan về thuật toán mã khối và hệ mật dựa trên
đường cong elliptic dùng trong bảo mật thông tin và tình hình nghiên cứu trong
và ngồi nước nhằm nâng cao hiệu năng một số thuật tốn mã hóa và định
hướng nghiên cứu chun sâu gồm:
- Mơ hình cho mã khối được lựa chọn là mơ hình có cấu trúc SPN để
đảm bảo độ an toàn và hiệu quả cao, trong đó tầng phi tuyến sử dụng các S-hộp
4 bit và tầng tuyến tính được xây dựng dựa trên các ma trận MDS.
- Nghiên cứu hệ mật dựa trên đường cong elliptic và phương pháp trao
đổi khóa mã an tồn.
- Xây dựng thuật tốn hiệu quả nhân nhanh đa thức với hệ số nguyên
sử dụng biến đổi Fourier nhanh (Fast Fourier Transform) và định lý phần dư
Trung Hoa và thực hiện thực tế thuật toán đề xuất trên các bộ vi xử lý 32-bit
hoặc 64-bit.
Tính năng quan trọng nhất sau bảo mật của các thuật toán mật mã theo
quan điểm của người dùng là tốc độ mã hóa, giải mã dữ liệu và tài ngun tính
tốn sử dụng. Trong kỷ nguyên của xã hội thông tin với sự phát triển nhanh
chóng các mạng máy tính, kết nối vạn vật (IoT)... giữa các doanh nghiệp và
trên toàn thế giới, và sự gia tăng đột biến lượng thông tin được gửi qua mạng
địi hỏi phải đảm bảo tốc độ mã hố và giải mã thơng tin một cách thích hợp,
nói cách khác bài tốn rút ngắn thời gian mã hóa và giải mã là một vấn đề rất
quan trọng trong thực tiễn. Để đáp ứng yêu cầu của các hệ thống bảo mật thông
tin hiện đại về mặt tốc độ, nhiều cơng trình nghiên cứu nhằm nâng cao hiệu
năng, tốc độ mã hóa và giải mã dữ liệu ở cả lĩnh vực phần cứng và phần mềm
đang được quan tâm và đầu tư nghiên cứu như:
• Tăng cường cơng suất xử lý bộ procesor xử lý dữ liệu,


8
• Áp dụng các khối phần cứng chuyên dụng.

• Tăng tốc độ các thuật tốn tuần tự,
• Các thuật tốn mã hóa song song.
Tăng tốc độ các thuật tốn tuần tự có thể bằng cách phát triển các thuật
tốn mới, nhanh hơn các thuật toán đã được sử dụng hoặc bằng cách tối ưu hóa
mã nguồn thuật tốn hiện có, tuy nhiên các kết quả đạt được còn hạn chế, chưa
đáp ứng được yêu cầu thực tế (có thể tham khảo chi tiết hơn trong các tài liệu
sau: [5], [14], [23]..).
Có thể chia ra hai loại thuật tốn mã hóa: thuật tốn mã hóa khóa đối xứng
(symmetric-key algorithms) và thuật tốn mã hóa khóa cơng khai (public-key
cryptography). Hệ mật được gọi là hệ mật khóa đối xứng nếu khóa mã của bên
mã hóa và bên giải mã như nhau. Hệ mật khóa cơng khai sử dụng hai loại khóa
mã khác nhau: một loại khóa được sử dụng để mã hóa thơng tin và có thể cơng
khai mà khơng có nguy cơ tiết lộ nội dung của các thông tin đã được mã hóa (gọi
là khóa cơng khai). Khóa giải mã được gọi là khóa riêng (hay khóa bí mật).
Thuật tốn mã hóa sử dụng khóa đối xứng có thể được chia thành hai
loại chính:
- Thuật tốn mã khối: mã hóa dữ liệu bằng các khối, với mỗi khối được
mã hóa một cách độc lập.
- Thuật tốn mã luồng: mã hóa luồng dữ liệu một cách liên tục.
Phần tiếp theo trình bày về thuật toán mã khối, cách thức việc của mã
khối, mật mã luồng (dòng), mật mã phi đối xứng và triển khai thực hiện các
thuật tốn mã hóa...cũng như tình hình nghiên cứu trong nước và trên thế giới
và định hướng nghiên cứu.
1.1 Thuật toán mã khối
Sự phát triển của kỹ thuật mã thám tiên tiến đặt cho các nhà thiết kế thuật
tốn mã mật mới những địi hỏi độ an toàn mật mã cao và phải đáp ứng các


9
điều kiện: hiệu năng mã hóa cao, có thể cứng hóa module mật mã, làm việc

thích ứng trong mơi trường đặc biệt. Các dữ liệu được truyền trong mạng truyền
thông ngày càng dễ dàng bị chặn thu và gây nhiễu. Một số mạng được cấu trúc
với các phần tử có tài nguyên hạn chế làm cho chúng rất dễ bị tổn thương với
các loại tấn công khác nhau liên quan đến việc truy cập trái phép thông tin hoặc
ngăn chặn hoạt động từng bộ phận của mạng (tấn công từ chối dịch vụ [2]).
Trong những ứng dụng tiềm năng (quân sự, chính phủ điện tử, điều khiển các
q trình cơng nghiệp,...) thì tính mở, quy mơ, và khả năng truyền dẫn, tính an
tồn của thơng tin được tạo ra và truyền dẫn trong mạng cần được xem xét, cân
nhắc khi thiết kế mạng [3]. Vì vậy thuật tốn mật mã khối cũng là một sản phẩm
mật mã quan trọng đang được nhiều nước quan tâm đầu tư nghiên cứu.
Năm 1997, NIST (National Institute of Standards and Technology) đã
mở một cuộc thi dành cho các thiết kế cải tiến thuật toán mã khối với khóa mã
đối xứng. Kết quả chọn được năm thuật toán:
- MARS: Một thuật toán mã mới của Hãng IBM,
- RC6: Một thuật toán mã do Ronald Rivest đề xuất,
- Rijandel: Một thuật toán mã do hai người Bỉ (Joan Daemen và Vincent
Rijmen) đề xuất [41], [42],
- Serpent: Một thuật toán mã do đội tuyển mật mã quốc tế từ Anh, Israel
và Na Uy,
- Twofish: Một thuật toán mã do Bruce Schneier (người tạo Blowfish) [13].
Rijndael được xem là thuật tốn có tốc độ mã hóa và giải mã nhanh
nhất. Về độ mật thì người ta cho rằng khơng có sự khác biệt đáng kể giữa các
phương pháp mã hóa đã được trình bày (cho đến thời điểm đó chưa có thuật
tốn nào trong số kể trên bị phá mã). Thuật toán này được NIST lựa chọn và
trở thành tiêu chuẩn Quốc gia, gọi là Chuẩn mã hóa dữ liệu tiên tiến AES. Đây
là chuẩn mã khối được xây dựng trên cơ sở cấu trúc mạng thay thế hoán vị


10
(SPN) với kích thước khối là 128 bit. Mỗi khối đầu vào được biểu diễn dưới

dạng ma trận 4x4 trên trường F_(2^8 ). Chi tiết về thuật tốn này có thể tham
khảo trong [41], [42].
Mã khối là một dạng mã đối xứng trong đó dữ liệu được chia thành các
bản rõ có kích thước cố định và mỗi bản rõ như vậy là đầu vào của thuật tốn
mã hóa. Thơng thường, thuật tốn chứa một hàm vịng được lặp lại N lần (N
được gọi là số vịng của thuật tốn). Hàm vịng này là một hàm tính tốn đơn
giản có tính chất mật mã yếu song được lặp lại rất nhiều lần để tạo ra một thuật
tốn mã hóa mạnh hơn. Với một mã khối, nó phải đáp ứng được đầy đủ hai yêu
cầu về tính hiệu quả và độ an tồn. Việc lựa chọn đối với kích cỡ khối, độ dài
khóa, hàm vịng và kích cỡ bản mã phụ thuộc vào đặc điểm kỹ thuật của máy
mã, an toàn cần thiết và mục đích triển khai. Hiện nay, ta có ba chiến lược cho
việc thiết kế các thuật tốn mã khối dựa trên mạng Feistel, mạng SP (sử dụng
các phép biến đổi thay thế-hoán vị), mạng ARX (sử dụng phép cộng module
cùng dịch vòng và phép cộng XOR). Các thiết kế này cũng được thực hiện đối
với các mã khối.
 Các thành phần mật mã của một thuật toán mã khối
Để đảm bảo thuật tốn mã khối an tồn trước các tấn công thám mã,
Shannon đã đưa ra hai nguyên lý “xáo trộn” và “khuếch tán” [83], với mục đích:
- Xáo trộn. Ngun lý này được mơ tả là sử dụng các biến đổi mật mã
hóa làm phức tạp quan hệ thống kê của bản mã vào bản rõ, hoặc nói ngắn gọn
là làm cho quan hệ giữa khóa và bản mã càng phức tạp càng tốt. Một hàm xáo
trộn tốt sẽ khơng đưa bất kì thơng tin về khóa bởi việc phân tích phân bố của
bản rõ và bản mã. Các hàm xáo trộn thường được cài đặt bởi các S-hộp.
- Khuếch tán. Nguyên lý này đảm bảo tính dàn trải ảnh hưởng của các
đặc tính bản rõ ban đầu qua bản mã càng nhiều càng tốt. Mục đích chính của
hàm khuếch tán là làm mất các hiểu biết của các tính chất thống kê của bản rõ.


11
Nó phân bố đầu vào thành một miền phức tạp của đầu ra với phân bố bằng

nhau. Hàm khuếch tán thường được cài đặt bởi phép biến đổi tuyến tính như
các hoán vị bit,...
Để thực hiện hai nguyên lý này, các mã khối an toàn thường được thiết
kế với hai tầng biến đổi riêng và đan xen với nhau đó là:
Tầng phi tuyến. Việc sử dụng các S-hộp trong mã khối nhằm mục đích
chính là tạo tính phi tuyến. Chúng thường được đưa ra như các bảng tra lớn, để
thay thế một giá trị bằng một giá trị khác. Ta có thể thấy các ví dụ như các S-hộp
của Rijndael[21], LED[34], PRESENT[12]... Trái lại, một số mã pháp lại không
sử dụng các S-hộp rõ ràng mà tính phi tuyến được đảm bảo bởi một số phép toán
đại số như phép cộng số nguyên, phép nhân số nguyên, phép logarit hoặc phép
mũ như trong các mã khối SIMON và SPECK[6]. Tuy nhiên, sự khác nhau này
khá nhỏ. Chẳng hạn, một hàm logarit hoặc một phép nhân có thể xem như một
S-hộp và các S-hộp được sử dụng trong các thuật toán như AES lại cũng có thể
biểu diễn như là một ánh xạ nghịch đảo x-1 trên trường

28

.

Tầng tuyến tính. Về mặt chức năng, ta có thể xem tầng biến đổi tuyến
tính thực hiện nguyên lý “khuếch tán”. Trên quan điểm của thám mã, thì tầng
biến đổi tuyến tính có mục đích làm cho xác suất lượng sai và xác suất tuyến
tính của hàm vịng đối với mọi sai khác đầu vào khác không và mọi mặt che
đầu ra khác không càng nhỏ càng tốt. Mặt khác, như chính tên gọi của nó, tầng
biến đổi tuyến tính đơn giản là các phép biến đổi tuyến tính dữ liệu ở đầu vào
để tạo dữ liệu đầu ra. Trong thực tế, người thiết kế phải lựa chọn các phép biến
đổi tuyến tính một cách cẩn thận để đạt được sự khuếch tán tối ưu nhất, có khả
năng kháng lại các tấn cơng đã biết một cách tốt nhất. Ngồi ra, tầng tuyến tính
cịn đóng vai trị quan trọng trong việc cài đặt cũng như thực thi hiệu năng của
thuật toán.



×