Tải bản đầy đủ (.pdf) (93 trang)

(Luận văn thạc sĩ) Nhận dạng và điều khiển tốc độ động cơ sử dụng NEURAL NETWORK

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (3.44 MB, 93 trang )

LỜI CAM ĐOAN
Tơi cam đoan đây là cơng trình nghiên cứu của tôi.
Các số liệu, kết quả nêu trong luận văn là trung thực và chưa từng được ai
công bố trong bất kỳ cơng trình nào khác
Tp. Hồ Chí Minh, ngày 30 tháng 09 năm 2018
(Ký tên và ghi rõ họ tên)

Nguyễn Duy Nam

ii


CẢM TẠ

Để hoàn thành luận văn này, lời đầu tiên em xin cảm ơn chân thành đếntồn
thể thầy cơ trong trường Đại Học Sư Phạm Kỹ Thuật TP.HCM nói chung vàcác
thầy cơ trong khoa Điện nói riêng, những người đã tận tình hướng dẫn, dạy dỗ và
trang bị cho em những kiến thức bổ ích trong hai năm vừa qua.
Đặc biệt em xin chân thành gửi lời cảm ơn sâu sắc đến thầy T.S Nguyễn
Phan Thanh, người đã tận tình hướng dẫn, trực tiếp chỉ bảo và tạo mọi điều kiện
giúp đỡem trong suốt quá trình làm luận văn tốt nghiệp.
Sau cùng em xin gửi lời cảm ơn chân thành tới gia đình, bạn bè đã động
viên, cổvũ và đóng góp ý kiến trong q trình học tập, nghiên cứu cũng như quá
trình làmluận văn. Em xin chân thành cảm ơn!
Kính chúc Q thầy cơ thật nhiều sức khỏe.
Thành phố Hồ Chí Minh, ngày 30 tháng 09 năm 2018
Học viên

Nguyễn Duy Nam

iii




TÓM TẮT
Đề tài nghiên cứu phương pháp điều khiển tốc độ động cơ đồng bộ nam châm
vĩnh cửu (PMSM), sử dụng phương pháp điều khiển PI kết hợp điều khiển thơng
minh sử dung mạng neuron, hàm bán kính cơ sở (RBF NN). Trước tiên, mơ hình của
RBF NN, bao gồm một lớp đầu vào, một lớp ẩn của các nơron xử lý phi tuyến có
chức năng Gaussian và một lớp đầu ra được trình bày trong luận văn. Trong đó, một
cơ chế học tập giám sát dựa trên phương pháp Stochastic gradient descent ( SGD)
được áp dụng để cập nhật các tham số của RBF NN giúp cho việc giảm thiểu sai số
sao cho giá trị nhỏ nhất có thể. Sau đó, ngơn ngữ mơ tả phần cứng mạch tích hợp tốc
độ cao (VHDL) được sử dụng để mô tả hành vi của tồn bộ RBF NN và thuật tốn
học tập liên quan. Chi tiết về VHDL trong việc thực hiện chức năng Gaussian của
hàm kích hoạt, cơ chế đào tạo và toàn bộ mạng thần kinh được minh họa và phân tích
cụ thể. Mơ hình mơ phỏng được thực hiện trong môi trường kết hợp giữa Matlab
Simulink và ModelSim. Cuối cùng, mạng nơron RBF được ứng dụng của để nhận
dạng và điều khiển trong hệ thống tuyến tính/ phi tuyến và trong hệ thống truyền
động động cơ đồng bộ nam châm vĩnh cửu (PMSM), để xác nhận tính hiệu quả và
chính xác của việc triển khai phần cứng số được đề xuất từ mạng nơron RBF.

iv


ABSTRACT
Research on the method of controlling the synchronous magnet synchronous
motor speed (PMSM), using the PI control method combines intelligent control using
neural network, radial basis function neural network (RBF NN). First, the model of
RBF NN, consisting of an input layer, a hidden layer of nonlinear processing neurons
with Gaussian functions and an output layer is presented in the dissertation. In
particular, a monitoring mechanism based on the Stochastic gradient descent (SGD)

method is used to update the parameters of the RBF NN to minimize the error so that
the smallest value. Then, the high-speed integrated circuit hardware description
language (VHDL) is used to describe the behavior of the whole RBF NN and related
learning algorithms. The details of VHDL in the implementation of the Gaussian
function of the activation function, the training mechanism and the entire neural
network are illustrated and analyzed in detail. Simulation model is implemented in
Matlab Simulink and ModelSim. Finally, the RBF neural network is used for
identification and control in linear/ nonlinear systems and in permanent magnet
synchronous motor systems (PMSM), to validate the efficiency and effectiveness.
The definition of digital hardware deployment is proposed from the RBF neural
network.

v


MỤC LỤC
LÝ LỊCH KHOA HỌC ................................................................................................ i
LỜI CAM ĐOAN .......................................................................................................ii
CẢM TẠ ................................................................................................................... iii
TÓM TẮT .................................................................................................................. iv
ABSTRACT ................................................................................................................ v
MỤC LỤC .................................................................................................................. vi
DANH SÁCH CÁC BẢNG .................................................................................... viii
DANH SÁCH CÁC HÌNH ........................................................................................ ix
CHƯƠNG 1 TỔNG QUAN ........................................................................................ 1
1.1 Đặt vấn đề: ......................................................................................................... 1
1.2 Các vấn đề nghiên cứu của đề tài ...................................................................... 2
1.2.1 Mục đích nghiên cứu ................................................................................... 2
1.2.2 Tính thực tiễn của đề tài .............................................................................. 2
1.2.3 Đối tượng nghiên cứu .................................................................................. 2

1.2.4 Phương pháp nghiên cứu ............................................................................. 2
1.2.5 Tên đề tài ..................................................................................................... 2
1.2.6 Bố cục luận văn ........................................................................................... 3
CHƯƠNG 2. KHẢO SÁT MƠ HÌNH ĐỘNG HỌC CỦA ĐỘNG CƠ ĐỒNG BỘ . 4
2.1.Khái quát về động cơ đồng bộ nam châm vĩnh cửu (PMSM) ........................... 4
2.2.Mơ hình động học của PMSM ........................................................................... 4
2.2.1.Nguồn tương đương..................................................................................... 4
2.2.2. Momen điện từ ........................................................................................... 5
2.2.3 Mơ hình từ thơng móc vịng ........................................................................ 6
2.2.4 Mạch tương đương ...................................................................................... 7
2.2.5 Mô phỏng động học ................................................................................... 10
2.2.6 Phương trình tín hiệu nhỏ của PMSM....................................................... 13
2.2.7 Đánh giá đặc tính điều khiển của PMSM .................................................. 14

vi


CHƯƠNG 3 CHIẾN LƯỢC ĐIỀU KHIỂN ĐỘNG CƠ ĐỒNG BỘ NAM CHÂM
VĨNH CỬU ............................................................................................................... 17
3.1 Cấu trúc hệ truyền động điều khiển động cơ đồng bộ nam châm vĩnh cửu .... 17
3.1.1 Hệ truyền động điều khiển mô-men .......................................................... 17
3.1.2 Hệ truyền động điều chỉnh tốc độ ............................................................. 20
3.2 Các chiến lược điều khiển................................................................................ 23
3.2.1 Điều khiển giữ góc mơ men bằng hằng số δ  90 0  ................................. 24
3.2.2 Điều khiển hệ số công suất ........................................................................ 27
3.2.3 Điều khiển từ thơng là hằng ...................................................................... 28
3.2.4 Điều khiển góc giữa từ thơng tổng và dịng phức ..................................... 30
3.2.5. Điều khiển tối ưu mơmen/dịng điện ........................................................ 32
3.2.6. Điều khiển hệ số tổn hao công suất là hằng ............................................. 33
3.2.7. Điều khiển hiệu suất tối đa ....................................................................... 38

CHƯƠNG 4 GIỚI THIỆU VỀ MẠNG NƠRON NHÂN TẠO ............................... 40
4.1Giới thiệu .......................................................................................................... 40
4.2Phân loại mạng nơron ....................................................................................... 42
4.2.1Đào tạo Mạng nơron nhân tạo truyền thẳng bằng thuật toán truyền ngược45
4.2.2Mạng hàm cơ sở bán kính .......................................................................... 49
4.2.3Mạng tự tổ chức.......................................................................................... 53
CHƯƠNG 5 ỨNG DỤNG MẠNG NƠRON RBF TRONG NHẬN DẠNG VÀ
ĐIỀU KHIỂN ............................................................................................................ 57
5.1Các ứng dụng của NN RBF cho việc nhận dạng hệ thống (ID) ....................... 57
5.2Ứng dụng của RBF-PI vào hệ thống điều khiển ............................................... 64
CHƯƠNG 6: KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN .......................................... 79
6.1 Kết luận ............................................................................................................ 79
6.2 Hướng phát triển .............................................................................................. 80
TÀI LIỆU THAM KHẢO ......................................................................................... 81

vii


DANH SÁCH CÁC BẢNG
Bảng 5.1: So sánh giữa bộ điều khiển PI và bộ điều khiển PI dựa trên RBF ...........68
Bảng 5.2: Các giá trị ban đầu của hệ thống đầu vào và các tham số PMSM ...........75

viii


DANH SÁCH CÁC HÌNH
Hình 2.1: Mạch tương đương của PMSM bỏ qua tổn thất lõi. ...................................8
Hình 2.2: Mạch trạng tương đương thái ổn định với tổn thất lõi của PMSM. ...........9
Hình 2.3: Sơ đồ khối của động cơ đồng bộ nam châm vĩnh cửu. ............................10
Hình 2.4: Lưu đồ mơ phỏng động lực của động cơ đồng bộ nam châm vĩnh cửu. ..11

Hình 2.5: Kết quả mơ phỏng động lực .....................................................................12
Hình 3.1:Sơ đồ cấu trúc điều khiển vector hệ truyền động điều khiển mômen động
cơ đồng bộ nam châm vĩnh cửu. ..............................................................19
Hình 3.2:Hệ truyền động điều chỉnh tốc độ động cơ đồng bộ nam châm vĩnh cửu. .....21
Hình 3.3: Điều khiển góc mơmen khơng đổi ...........................................................26
Hình 3.4: Giản đồ phức của máy điện đồng bộ nam châm vĩnh cửu thể hiện từ
thơng tương hỗ .........................................................................................31
Hình 3.5: Mơ hình động cơ PMSM trong hệ tọa độ rotor bao gồm stator và điện trở
lõi .............................................................................................................36
Hình 3.6: Thực hiện điều khiển giữ tổn hao cơng suất khơng đổi ...........................38
Hình 3.7: Cấu trúc hệ truyền động điều khiển tối thiểu tổn hao ..............................39
Hình 4.1: Mơ hình của mạng nơron tổng quát .........................................................41
Hình 4.2: Một quá trình nơron đơn ..........................................................................42
Hình 4.3: Mơ hình mạng nơron nhân tạo truyền thẳng với thuật tốn lan truyền
ngược. ......................................................................................................46
Hình 4.4: Mơ hình của một mạng nơron RBF..........................................................51
Hình 4.5: Mơ hình bản đồ tự tổ chức .......................................................................54
Hình 5.1a: Đáp ứng của bộ điều khiển RBF-PI dựa trên mạng nơron RBF ............58
Hình 5.1b: Nhận dạng trực tuyến động lực của một hệ tuyến tính sử dụng một RBF
NN 3-5-1 ..................................................................................................59
Hình 5.2: Kết quả theo dõi theo các tỷ lệ học khác nhau (a) 0.1 (b) 0.25 (c) 0.5 ....60
Hình 5.3: Sơ đồ khối cho nhận dạng động của hệ thống truyền động PMSM .........61
Hình 5.4: Mơ hình đồng mơ phỏng Simulink / ModelSim xác định động của hệ
thống truyền động PMSM .......................................................................63

ix


Hình 5.5: Kết quả nhận dạng động (a) và theo dõi sai số giữa tốc độ rotor và đầu ra
theo lệnh tốc độ dạng bậc thang trong hệ thống PMSM (b) ....................63

Hình 5.6: Dịng đáp ứng (a), kết quả nhận dạng động (b) và chênh lệch giữa tốc độ
rotor và đầu ra theo lệnh tốc độ dạng sóng sin trong hệ thống truyền động
PMSM. .....................................................................................................64
Hình 5.7: Sơ đồ bộ điều khiển PI thơng thường .......................................................65
Hình 5.8: Mơ hình mơ phỏng Simulink cho bộ điều khiển PI thơng thường ...........66
Hình 5.9: Mơ hình mơ phỏng Simulink cho bộ điều khiển RBF-PI ........................67
Hình 5.10: Kết quả đáp ứng ngõ ra khi theo dõi mơ hình tham chiếu với bước lệnh
đầu vào cho (a) điều khiển PI thông thường và (b) điều khiển RBF-PI. .67
Hình 5.11: Mơ hình đồng mơ phỏng Simulink/ ModelSim cho điều khiển RBF-PI
trong hệ phi tuyến ....................................................................................69
Hình 5.12: Đáp ứng ngõ ra bằng cách sử dụng (a) bộ điều khiển PI thơng thường và
(b) bộ điều khiển RBF-PI ........................................................................69
Hình 5.13: Chuyển đổi Jacobian ..............................................................................70
Hình 5.14: Sơ đồ khối cho nhận dạng động ( khối-3) và điều khiển RBF-PI đáp ứng
( khối-1) của hệ thống truyền động PMSM .............................................71
Hình 5.15: Mơ hình đồng mơ phỏng Simulink/ ModelSim cho việc nhận dạng động và
điều khiển RBF-PI thích ứng với hệ thống truyền động PMSM ..................73
Hình 5.16: Mơ hình tham chiếu theo dõi tốc độ rotor trong điều khiển RBF-PI .....73
Hình 5.18: Theo dõi sai số giữa tốc độ rotor và đầu ra của nhận dạng động dưới lệnh
tốc độ tham chiếu trong truyền động PMSM.................................................74
Hình 5.19: Giá trị độ khuếch đại của Kp ( a) và Ki (b) ............................................75
Hình 5.20: Đáp ứng tốc độ bằng bộ điều khiển PI thông thường ............................76
Hình 5.21: Đáp ứng tốc độ sử dụng bộ điều khiển RBF-PI .....................................76
Hình 5.22: Sự thay đổi độ khuếch đại Kp, Ki đạt được trong bộ điều khiển RBF-PI ..77

x


CHƯƠNG 1 TỔNG QUAN
1.1 Đặt vấn đề:

Trong các bài toán nhận dạng và điều khiển, việc ứng dụng mạng neuron
ngày càng phổ biến nhưngdo tính phi tuyến cao của hàm kích hoạt trong mạng
nơron, việc thực hiện mạng nơron trong hệ thống số gặp nhiều khó khăn và độ
chính xác khơng cao. Bên cạnh đó, việc thiết kế q trình truyền thẳng và quá trình
lan truyền ngược của mạng cần phải có sự phối hợp tốt giúp cho việc học của mạng
nơron được hiệu quả.Trong trường hợp này, mạng nơron cần được thực hiện trên
nền tảng phần cứng. Trên thực tế, mạng nơron có thể được thực hiện bằng cả phần
mềm và phần cứng. Việc triển khai trên phần cứng đặc biệt hữu ích trong các ứng
dụng địi hỏi tốc độ và độ chính xác cao, như trong cơng nghệ nhận dạng và một số
ứng dụng khác.
Mạng perceptron đa lớp (MLP) đã được phát triển trên FPGA với kiểu dữ
liệu fixed-point sử dụng các thuật toán cơ bản cộng và nhân, trong đó các trọng số
được cập nhật mỗi giây [1]. Trong một nghiên cứu khác, mạng neuron đã được thiết
kế bằng phần mềm Labview, sử dụng để tính tốn tốc độ làm việc của động cơ
trong hệ truyền động 2 trục. Ngồi ra, mạng nơron với hàm bán kính cơ sở đã được
thực thi trên nền tảng phần cứng trong hệ thống theo dõi và nhận diên mặt người ở
thời gian thực [2-3]. Qua việc khảo sát một số bài báo, học viên nhận thấy có nhiều
nghiên cứu đã đưa ra các phương pháp khác nhau để thực hiện mạng nơron trong hệ
thống số [4-16].
[4] Bài báo nghiên cứu về việc thiết kế mạch tương tự có thể lập trình kỹ
thuật số phù hợp cho một số hàm phi tuyến bằng cách xấp xỉ chúng bởi hàm
sigmoid.
[8] Bài báo trình bày việc áp dụng lý thuyết ngẫu nhiên để thiết kế và thực
hiện điều khiển định hướng động cơ cảm ứng bằng cách sử dụng mạch tích hợp
(FPGA) và các thuật tốn mạng nơron (NN).
[12] Bài báo trình bày việc thực hiện phần cứng của mạng nơron hàm cơ sở
bán kính (RBF NN) có trọng số được cập nhật theo thời gian thực bằng thuật toán

1



truyền ngược. Bộ xử lý floating point được thiết kế trên một mạch tích hợp (FPGA)
để thực hiện các hàm phi tuyến trong phép tính xử lý song song.
Tuy nhiên, chưa có nghiên cứu nào đưa ra được phương pháp thiết kế một
cách chi tiết và cụ thể. Có thể nói, việc thiết kế mạng nơron phát triển trên phần
cứng, với mơ tả chi tiết q trình học, tương đối hiếm trong các tài liệu [17]. Trong
luận văn này sẽ trình bày chi tiết cấu trúc của mạng nơron hàm bán kính cơ sở (RBF
NN) và thực hiện trên cơ sở phần cứng, đồng thời ứng dụng trong các bài toán nhận
dạng hệ phi tuyến.
1.2 Các vấn đề nghiên cứu của đề tài
1.2.1Mục đích nghiên cứu
Ứng dụng mạng nơron trong bài toán nhận dạng hệ thống điều khiển phi
tuyến và áp dụng vào việc điều khiển tốc độ động cơ đồng bộ nam châm vĩnh cửu.
Nghiên cứu nhận dạng và điều khiển tốc độ động cơ để nâng cao hiệu quả
điều khiển.
1.2.2 Tính thực tiễn của đề tài
Qua kết quả mơ phỏng và phân tích giải pháp nhận dạng và điều khiển tốc độ
động cơ đồng bộ nam châm vĩnh cửu. Đã chứng minh được tính hữu hiệu của
phương phương pháp điều khiển tốc độ sử dụng mạng nơron RBF. Qua đó có thể
ứng dụng giải pháp để điều khiển các đối tượng bất định, có các đặc tính thay đổi
trong quá trình hoạt động.
1.2.3Đối tượng nghiên cứu
Điều khiển tốc độ động cơ đồng bộ nam châm vĩnh cửu bằng phương pháp
điều khiển PI kết hợp với mạng nơron RBF.
1.2.4 Phương pháp nghiên cứu
Tham khảo các tài liệu, các sách, các báo đã cơng bố trong nước và ngồi
nước.
Mơ hình hóa mơ phỏng hệ thống trên phần mềm Matlab.
1.2.5Tên đề tài
“Nhận dạng và điều khiển tốc độ động cơ sử dụng Neural Network”


2


1.2.6Bố cục luận văn
Luận văn gồm các nội chính như sau:
Chương 1: Tổng quan
Chương 2: Khảo sát mơ hình động học động cơ đồng bộ nam châm vĩnh cửu
Chương 3: Chiến lược điều khiển động cơ đồng bộ nam châm vĩnh cửu
Chương 4: Giới thiệu về mạng nơron nhân tạo
Chương 5: Ứng dụng mạng nơron RBF trong nhận dạng và điều khiển
Chương 6: Kết luận và hướng phát triển

3


CHƯƠNG 2. KHẢO SÁT MƠ HÌNH ĐỘNG HỌC
ĐỘNG CƠ ĐỒNG BỘ NAM CHÂM VĨNH CỬU
2.1. Khái quát về động cơ đồng bộ nam châm vĩnh cửu (PMSM)
Động cơ đồng bộ nam châm vĩnh cửu có cấu tạo gồm các cuộn dây 3 pha
phân bố đối xứng và rotor gắn nam châm vĩnh cửu để tạo từ trường khe hở. Việc
loại bỏ được mạch điện kích từ ở phía rotor đem lại một số ưu điểm cho PMSM như
giảm tổn hao đồng, mật độ công suất cao hơn, giảm mô-men quán tính của động cơ,
cấu tạo rotor bền vững về mặt cơ khí hơn.
2.2. Mơ hình động học của PMSM
2.2.1. Nguồn tương đương
Các đầu vào công suất cho máy ba pha có được bằng năng lượng đầu vào
cho các máy hai pha có ý nghĩa giải thích trong phép đo, phân tích và mơ phỏng.
Cơng suất đầu vào tức thời của 3 pha là:
p  vt i

v i v i v i
i
abc abc
as as
bs bs
cs cs
pi

(2.1)

: công suất đầu vào tức thời

vt
abc

: vector điện áp pha abc tức thời

v ,v v
as bs, cs

: điện áp đầu vào pha a, b, c

iabc

: vector điện áp pha abc

i ,i i
as bs, cs

: dòng điện stator tức thời 3 pha


Các dòng pha abc và điện áp được chuyển thành tương đương:

 
r
 1vqdo
v
 T
abc
abc

 1i r
i
T
abc
abc
qdo

(2.2)
(2.3)

Từ (2.1), (2.2), (2.3) ta có cơng suất đầu vào:

   

 1 t T
 1i r
p  (v r )t  T
i
qdo  abc

qdo
 abc

4

(2.4)


Khai triển phía bên phải của phương trình (2.4), cơng suất đầu vào trong các
biến dqo là:
3

p   v r i r  v r i r   2v i 
i 2  qs qs
ds ds 
0 0

(2.5)

Đối với máy 3 pha cân bằng, dịng thứ tự khơng khơng tồn tại nên cơng suất
đầu vào có thể được thu gọn:
3

p   v r i r  v r i r 
i 2  qs qs ds ds 

(2.6)

2.2.2. Momen điện từ
Mô-men điện từ là các biến đầu ra quan trọng nhất để xác định các động lực

cơ khí của máy chẳng hạn như vị trí rotor và tốc độ. Nó có nguồn gốc từ phương
trình ma trận máy bằng cách nhìn vào cơng suất đầu vào và các thành phần khác của
nó như tổn thất điện trở, công suất cơ học, và mức độ thay đổi của năng lượng từ
trường tích lũy trong cuộn dây stator. Mức độ thay đổi của năng lượng từ trường
được lưu trữ chỉ có thể bằng khơng trong trạng thái ổn định. Do đó, trong một trạng
thái ổn định có tổn thất điện trở và công suất đầu ra chênh lệch với công suất đầu
vào. Mức độ thay đổi của năng lượng từ trường tích lũy trong cuộn dây stator khơng
cần phải bằng không. Và nguồn gốc của các momen xoắn điện từ được thực hiện
như sau.
Các phương trình động học của PMSM có thể được viết như sau:
V  Ri  L pi  G i
r

(2.7)

Công suất đầu vào tức thời là:
p  i tV  i t Ri  i t L pi  i t G  i
i
r

Với:
R

: ma trận các thành phần điện trở

L

: ma trận các hệ số của hàm điều hành p

G 


: ma trận các hệ số của tốc độ rotor ωr

i t Ri

: điện trở tổn thất ở rotor và stator

5

(2.8)


i t Lpi

: biểu thị mức độ thay đổi năng lượng từ trường được lưu trữ

i t G  r i

: các thành phần còn lại bao gồm cả khe hở khơng khí

Mối liên hệ giữa khe hở khơng khí và momen xoắn:
 T  P  i t G i  i t Gi
m e

a

r

P


2 m

(2.9)

Trong đó P là số cực. Bỏ qua tốc độ trên cả hai vế phương trình ta có momen
điện từ:
P
T  i t G i
e
2

(2.10)

Thay thế [G] trong phương trình (2.10) ta có momen xoắn điện từ thu được
dưới dạng:
3 P

T 
   L  L i r i r ( N .m)
e 2 2  af  d
q  ds  qs

(2.11)

2.2.3 Mơ hình từ thơng móc vịng
Các phương trình động lực của PMSM trong hệ tọa độ rotor có thể được thể
hiện bằng cách sử dụng các mối liên kết từ thơng như các biến. Ngay cả khi điện áp
và dịng là khơng liên tục thì các từ thơng móc vịng vẫn liên tục. Điều này tạo
thuận lợi phân biệt các biến số có sự ổn định. Các từ thơng móc vòng stator và rotor
trong hệ tọa độ rotor được định nghĩa là:


r  L i r
qs

(2.12)

q qs

r  L i r  
ds

d ds

(2.13)

af

Từ những phương trình này, các dịng điện stator trong hệ tọa độ rotor có thể
được biểu diễn với các từ thơng móc vịng và cuộn cảm. Điện áp theo hệ trục dq
stator về các từ thông móc vịng trong hệ tọa độ rotor như sau:
R
v r  s r  pr   r
qs L
qs
qs
r ds
q

(2.14)


R
v r  s  r     pr   r
ds L  ds
af 
ds
r qs
d

(2.15)

6


Những phương trình này có thể được biểu diễn dưới dạng các mạch tương
đương và cũng có thể ở dạng một sơ đồ khối. Momen điện từ như là một hàm của
các từ thơng móc vịng thu được bằng cách thay thế dịng điện stator về các từ thơng
móc vịng và được tính tốn như sau:
3P 1
T 
e 2 2 L
q

   (1   )r  r  3 P r i r  r i r 
 af
ds  qs 2 2  ds qs
qs ds 

Trong đó:




L
L

(2.16)

q

d

Phần thứ hai của biểu thức thể hiện rõ hơn momen là kết quả của sự tương
tác giữa từ thông móc vịng và dịng điện trục d-q ở các cuộn dây và ngược lại. Các
dấu trừ liên quan đến các từ thơng móc vịng trục q và trục d dịng điện là bởi vì nó
tạo ra một momen cản ngược lại với các thành phần momen khác.
2.2.4 Mạch tương đương
Các mạch tương đương của PMSM có thể bắt nguồn từ các phương trình
stator và được thể hiện ở hình 2.1.

7


Hình 2.1: Mạch tương đương của PMSM bỏ qua tổn thất lõi.
(a) Mạch tương đương stator theo trục q
(b) Mạch tương đương stator theo trục d
(c) Mạch tương đương không theo trình tự
Các mạch tương đương rất hữu ích trong việc nghiên cứu hệ thống. Các
mạch tương đương có thể được sửa đổi để giải thích cho những tổn thất lõi. Tổn thất
lõi sinh ra bởi từ trễ và các tổn thất dịng xốy trong các lá thép kỹ thuật điện. Trong
khi những tổn thất thường bị chi phối bởi mật độ từ trường, tần số kích thích, và đặc
tính của lá thép, và độ dày của lá thép trong trường hợp tổn thất dịng xốy là duy

nhất, cần lưu ý rằng mỗi biến ảnh hưởng đến sự tổn thất lõi rất khác nhau. Hơn nữa,
có tổn thất trên mặt cực và tổn thất sóng hài do cuộn dây và các lá thép stator và
rotor. Như vậy một mơ hình tổn thất cho tất cả mọi các thành phần có thể khơng
được dễ dàng tích hợp vào các mạch tương đương đơn giản. Tuy nhiên, một mô

8


hình đơn giản để giải thích cho những tổn thất cốt lõi do kích thích cơ bản là có thể
bởi một trở kháng tương đương, mặc dù vẫn có tổn thất khác như tổn thất trên mặt
cực và tổn thất sóng hài được loại trừ trong một mơ hình này. Mơ hình này rất hữu
ích trong các nghiên cứu tính hiệu quả tối ưu momen cho hoạt động của máy, và
quan trọng nhất để xác định momen đối với tốc độ giới hạn để việc sử dụng tối ưu
và an tồn nhất trong vận hành máy.

Hình 2.2: Mạch trạng tương đương thái ổn định với tổn thất lõi của PMSM.
(a) Mạch tương đương trạng thái ổn định theo trục q
(b) Mạch tương đương trạng thái ổn định theo trục d
Việc thêm vào điện trở tổn hao tương đương trong các mạch theo trục q và d
chứng minh rằng dòng điện tiêu thụ của chúng bị mất cho hệ momen trong máy.
Hơn thế nữa, những tổn thất làm giảm khả năng tản nhiệt của máy. Các sơ đồ khối
của PMSM được thể hiện trong hình 2.3. Các momen điện từ có được từ các từ
thơng móc vịng. Các dịng điện được rút ra từ các từ thơng móc vịng và chúng
khơng được hiển thị ở đây để đơn giản hóa.

9


Hình 2.3: Sơ đồ khối của động cơ đồng bộ nam châm vĩnh cửu.
2.2.5Mô phỏng động học

Các mô phỏng động năng của PMSM được giải thích trong phần này. Các
phương trình của PMSM trong hệ tọa độ rotor:


pi r    
qsn
b




L
sn i r  dn  i r  afn   1 v r 
qsn L
rn dsn L
rn L
qsn 
L
qn
qn
qn
qn

R

 Lqn
R
1 r 
r
r

r

sn
pi
 
 i

i

v
qsn
b L
rn qsn L dsn L
dsn 
dn
dn
 dn

p

rn



1 


r r
r
 afn iqsn   Ldn  Lqn idsniqsn  Bnrn  Tn 

2H 




(2.18)

(2.19)

(2.20)

Các phương trình cuối cùng được thêm vào để tìm vị trí rotor vì nó rất quan
trọng trong việc xác định điện áp và dòng điện trong từng pha của máy. Các vị trí
rotor đơn vị là radian. Nó được xem là những phương trình phi tuyến, kết quả của
các biến có liên quan. Các giải pháp của hệ thống sau đó thu được bằng cách tích
hợp các phương trình vi phân. Phương pháp Runge Kutta Gill có thể được sử dụng
cho tích phân số hoặc minh họa trong chương trình MATLAB, một giải pháp đơn
giản bằng cách rời rạc hóa có thể thu được điện áp đầu vào. Các dịng pha abc có
thể được lấy từ dịng dq trong hệ tọa độ rotor bằng cách sử dụng các ma trận biến

10


đổi nghịch đảo. Từ các dòng dq stator, thu được momen điện từ. Việc thực hiện
trong điều kiện mô phỏng được thể hiện trong hình 2.4. Khơng có sự điều khiển đối
với các PMSM dựa trên vị trí rotor của nó trong mơ phỏng này. Bởi vì các dịng
stator đạt được giá trị cao với các dao động trong khe hở khơng khí momen, dẫn đến
sự rung lắc đáng kể của rotor. Sự rung lắc như vậy là điều không mong muốn. Đối
với mô phỏng này, momen tải được coi là bằng không và điện áp pha áp dụng là
bằng với điện áp cơ sở về độ lớn và một bộ cân bằng điện áp ba pha với tần số 60

Hz. Các trục q và d điện áp stator trong hệ tọa độ rotor khơng phải là hằng số.

Hình 2.4: Lưu đồ mô phỏng động lực của động cơ đồng bộ nam châm vĩnh cửu.

11


Hình 2.5: Kết quả mơ phỏng động lực
Để vận hành PMSM tốt hơn, hãy xem xét điều khiển vịng kín đơn giản mà
vị trí rotor được sử dụng để thiết lập một pha điện áp như một hình sin của vị trí
rotor và góc α cố định. Các điện áp pha b và c có nguồn gốc tương tự. Góc α được
gọi là góc pha điện áp stator. Độ lớn của điện áp pha được đưa ra như là một chức
năng của tốc độ rotor cộng với một điện áp bù đắp như:
V

m

 K  1
b r

(V)

(2.21)

Với:
r :

Tốc độ quay rotor

Kb : Hằng số EMF

Điện áp bù vượt quá điện áp rơi trên trở để làm cho nó có khả năng tạo ra
một dòng điện tại thời điểm bắt đầu từ điểm dừng. Đối với biến tần điều khiển tốc
độ động cơ cảm ứng có thể nhận ra rằng điện áp bù phục vụ cùng một mục đích

12


trong việc điều khiển U/f. Giả sử điện áp cơ sở là Vb, điện áp pha bình thường được
viết là:
v





V
 m sin  t   (p.u)
asn V
r
b

(2.22)

Điện áp tăng lên tương ứng với tốc độ. Góc giữa các từ thơng móc vịng rotor
và điện áp được duy trì ở 90 °, nghĩa là α = 90 °. Các momen khe hở khơng khí
giảm khi dịng điện giảm trong biên độ do sức điện động gây ra và tăng khi tốc độ
tăng. Điện áp và tần số của điện áp và pha có thể được lập trình từ các tín hiệu điều
khiển.
2.2.6 Phương trình tín hiệu nhỏ của PMSM
Các phương trình điện của PMSM và các hệ thống con điện cơ được đưa ra

trong phương trình (2.18) qua (2.21) kết hợp để cung cấp cho các phương trình
động học của hệ thống tải của động cơ. Những phương trình động học phi tuyến là
sản phẩm của hai biến dòng điện hoặc một biến dòng điện và tốc độ rotor. Đối với
thiết kế bộ điều khiển với kỹ thuật thiết kế hệ thống điều khiển tuyến tính, các
phương trình phi tuyến động lực không thể được sử dụng trực tiếp. Chúng phải
được tuyến tính xung quanh một điểm làm việc sử dụng kỹ thuật nhiễu loạn. Đối
với đầu vào tín hiệu nhỏ hoặc rối loạn, các phương trình tuyến tính là hợp lệ. Các
phương trình tuyến tính thu được như sau.
Các biến trong các đơn vị SI là sau khi nhiễu loạn:





δv r  R  L p δi r  ω L δi r   L I r  λ δω
qs
s
s
qs
ro d ds  d dso
af  r





δv r  ω L δi e  R  L p δi r  L I r δω
ds
ro q qs
s

d
ds
q qso r



P
Jp  B  T  T
r
r 2 e
1





(2.24)
(2.25)

pδδr  δωr
δTe 

(2.23)

(2.26)



3P
r

r
r
r
r
λaf δiqs
 Ld  Lq  I dso
δiqs
 I qso
δids
22

13



(2.27)


Kết hợp phương trình thơng qua (2.23) và (2.27) đưa về mơ hình khơng gian
trạng thái ta có:
pX  AX  BU

(2.28)

Với:



r
X  iqs


r
ids
 r



r
r
U  v qs
v ds
T

 r



 1
L
 q
B   0

 0


t

(2.30)

 




0
1
Ld
0

(2.29)



R

 s

Lq

Lq

 ro
A
Ld

k   L  L I r
d
q dso
 1 af

0





t





Ld
 ro
Lq
R
 s
Ld



r
k1 Ld  Lq I dso

0



r
  af  Ld I dso

Ls r

I dso
Ld
B

J
1


0 

0 

P

2 J 




0


0

0
0

(2.31)

(2.32)


2

k1 

3P 1
 
2 2 J

(2.33)

Đầu ra có thể là hàm của các biến trạng thái:
y  CX  DU

(2.34)

Trong đó C và D tại là vector kích thước thích hợp. Những hệ thống và đầu
ra được mơ tả bởi phương trình (2.28) và (2.34). Với mục đích nhỏ gọn trong việc
xây dựng, momen tải đã được coi như một đầu vào.
2.2.7 Đánh giá đặc tính điều khiển của PMSM
Biến đổi Laplace phương trình (2.28) và (2.34) với các giả thiết điều kiện
ban đầu bằng không:
sX (s)  AX (s)  B1u(s)

(2.35)

y(s)  CX (s)  Du (s)

(2.36)


14


Với s là biến Laplace.
Áp dụng phương trình (2.31) và (2.32), đầu ra như sau:





y(s)  C sI  A1 B1  D u(s)

(2.37)

Với I là ma trận đơn vị. Ma trận đầu vào được viết:
B1u(s)  bi u i (s)

(2.38)

Với bilà vector cột thứ i của ma trận B và i tương ứng với số phần tử trong
vector đầu vào, tương tự:
Du (s)  d i u i (s)

(2.39)

Và kết quả là các phương trình:
sX (s)  AX (s)  bi u i (s)

(2.40)


y(s)  CX (s)  d i u i (s)

(2.41)

Việc đánh giá các hàm truyền được thực hiện đơn giản nếu dạng biến chính
tắc hay pha của phương trình trạng thái được đưa ra trong phương trình (2.28) được
tìm thấy. Giả định rằng nó được thực hiện bằng việc chuyển đổi sau đây:
X  Tp X p

(2.42)

Các phương trình trạng thái và đầu ra được chuyển thành:
pX p  A p X p  B p u i

(2.43)

y  C p X p  d i ui

(2.44)

Trong đó:
A p  T p1 AT p

(2.45)

B p  T p1bi

(2.46)

C p  CT p


(2.47)

Các ma trận và vector có dạng:
 0
Ap   0
 m1

1
0
 m2

0 
1 
 m3 

(2.48)

B p  0 0 1t

(2.49)

15


C p  n1

n2

n3 


(2.50)

Và hàm chuyển đổi được viết:
y(s)
n1  n2 s  n3s 2

 di
u j(s) m1  m2 s  m3s 2  s 3

(2.51)

Vấn đề nằm ở chỗ tìm các ma trận biến đổi, Tp. Một thuật toán để xây dựng
Tp
được đưa ra dưới đây:
 T p  t1 t 2 t 3 



t 3  bi


t  At
k  1,2
3 k 1  m3 k 1bi ;
 3 k


(2.52)


Với t1, t2, t3là các vector cột. Các phương trình cuối cùng cần các hệ số của
phương trình đặc trưng và được tính tốn trước đó bằng cách sử dụng thuật toán
Leverrier. Các thuật toán Leverrier được đưa ra sau đây:


 m3  trace(A);
H 3  A  m3 I 


1


m2   trace(AH 3 ); H 2  AH 5  m4 I 
2


 m1   1 trace(AH 2 )



5



(2.53)

Với các vết một ma trận là tổng các phần tử đường chéo của nó. Tần số đáp
ứng được đánh giá từ phương trình (2.37) bằng cách thay thế, s = jω. Mức độ và đồ
thị pha có thể được vẽ trên phạm vi tần số mong muốn cho việc đánh giá các đặc
tính điều khiển.


16


×