Tải bản đầy đủ (.pdf) (127 trang)

(Đồ án hcmute) hệ thống giám sát điều khiển thiết bị sử dụng vi điều khiển stm8s00f3p6 và sóng rf

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (5.8 MB, 127 trang )

BỘ GIÁO DỤC VÀ ĐÀO TẠO
TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT TP.HCM
KHOA ĐIỆN - ĐIỆN TỬ

ĐỒ ÁN TỐT NGHIỆP
NGÀNH CÔNG NGHỆ KỸ THUẬT ĐIỆN - ĐIỆN TỬ

HỆ THỐNG GIÁM SÁT ĐIỀU KHIỂN THIẾT BỊ
SỬ DỤNG VI ĐIỀU KHIỂN STM8S003F3P6 VÀ SÓNG RF

GVHD: ThS. DƯƠNG THỊ CẨM TÚ
SVTH: TRẦN KHẮC KIỆT
MSSV: 08101065

SKL 0 0 4 2 4 7

Tp. Hồ Chí Minh, tháng 1/2016

do an


ĐỒ ÁN TỐT NGHIỆP

BỘ GIÁO DỤC & ĐÀO TẠO
TRƢỜNG ĐẠI HỌC SƢ PHẠM KỸ THUẬT TP. HỒ CHÍ MINH
KHOA ĐIỆN – ĐIỆN TỬ
BỘ MÔN ĐIỆN TỬ-CÔNG NGHIỆP
---------------------------------

ĐỒ ÁN TỐT NGHIỆP
NGÀNH KỸ THUẬT ĐIỆN – ĐIỆN TỬ


ĐỀ TÀI:

HỆ THỐNG GIÁM SÁT ĐIỀU KHIỂN THIẾT BỊ SỬ DỤNG
VI ĐIỀU KHIỂN STM8S003F3P6 VÀ SÓNG RF

GVHD: Th.S Dƣơng Thị Cẩm Tú
SVTH: Trần Khắc Kiệt
Lớp:

081011C, Hệ chính qui

MSSV: 08101065

Tp. Hồ Chí Minh - 01/2016

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an


ĐỒ ÁN TỐT NGHIỆP

LỜI CAM ĐOAN
Đề tài này là do tôi tự thực hiện dựa vào một số tài liệu và khơng sao chép từ tài liệu
hay cơng trình đã có trƣớc đó.

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an



ĐỒ ÁN TỐT NGHIỆP

LỜI CẢM ƠN
Để có thể thực hiện thành cơng đề tài này, nhóm thực hiện đã nhận đƣợc rất
nhiều sự giúp đỡ, tƣ vấn từ cô Dƣơng Thị Cẩm Tú. Trong quá trình thực hiện đề
tài thầy đã hƣớng dẫn nhóm thực hiện đề tài rất nhiệt tình. Ln đốc thúc, nhắc
nhở để nhóm có thể hồn thành đề tài đúng yêu cầu, đúng tiến độ. Nhóm thực
hiện xin chân thành cảm ơn Cô.
Ngƣời thực hiện đề tài cũng chân thành cảm ơn tấ t cả các Th ầy, Cô trong
khoa điện - điện tử đã tạo điều kiện để đề tài hoàn thành đúng thời hạn. Cảm ơn
tất cả các ba ̣n đã nhiê ̣t tiǹ h đóng góp nhƣ̃ng ý kiế n , giúp đỡ để cho đ ề tài hoàn
thiê ̣n hơn.
Cuố i cùng ngƣời thực hiện đề tài cũng xin cảm ơn gia đình đã tích cƣ̣c đô ̣ng
viên, khuyế n khích, tạo mọi điều kiện và trƣ̣c tiế p hỗ trơ ̣ kinh phí , tinh thần để đề
tài tốt nghiệp đƣơ ̣c hoàn thành đúng thời hạn.
Trân trọng !
Tp.HCM, tháng 01 năm 2016
Ngƣời thực hiện đề tài
Trần Khắc Kiệt

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an


ĐỒ ÁN TỐT NGHIỆP

TÓM TẮT
Ngày nay phƣơng thức truyền nhận dữ liệu không dây đang ngày càng phát triển

và đƣợc ứng dụng rộng rãi trong khoa học kỹ thuật, trong cuộc sống. Các hệ thống
không dây thƣờng nhỏ gọn, tiết kiệm chi phí do khơng phải sử dụng dây nối. Các hệ
thống khơng dây thƣờng sử dụng sóng wifi, sóng RF. Những ứng dụng, hệ thống cỡ
nhỡ, trung bình thì sử dụng sóng wireless để truyền nhận dữ liệu là một lựa chọn
hợp lí.
Sau một thời gian thực hiện đề tài nhóm đạt đƣợc những kết quả:
-

Xây dựng đƣợc một mơ hình của hệ thống gồm 1 master, 1slave sử dụng cảm
biến nhiệt độ DS18B20. Trong đó master có khả năng truyền và nhận dữ liệu từ
slaver qua sóng RF và ngƣợc lại.

-

Xây dựng đƣợc giao diện giám sát và điều khiển trên máy tính bằng ngơn ngữ
C# sử dụng phần mềm Microsoft Visual studio 2010.

-

Tìm hiểu về cấu tạo, ngun lý, các đặc tính của vi điều STM8S003F3P6

-

Tìm hiểu về cách lập trình C trong IAR Embedded Workbench cho vi điều khiển
STM8S003F3P6.

-

Tìm hiểu cảm biến nhiệt độ DS18B20.


-

Tìm hiểu và có khả năng sử dụng module thu - phát sóng RFnRF24L01 của
hãng Nordic.

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an


ĐỒ ÁN TỐT NGHIỆP

MỤC LỤC
CHƢƠNG I: DẪN NHẬP ......................................................................................... 1
1.1.Lí Do Chọn Đề Tài. ............................................................................................... 1
1.2.Giới Thiệu Đề Tài. ................................................................................................ 1
1.3.Ý Nghĩa Đề Tài. .................................................................................................... 2
1.4.Nội Dung Nghiên Cứu. ........................................................................................ 2
1.5.Giới Hạn Đề Tài. ................................................................................................... 2
CHƢƠNG II: CƠ SỞ LÝ THUYẾT ....................................................................... 3
2.1.Giới Thiệu Về Sóng RF Và Điều Khiển Từ Xa Bằng Sóng RF ........................... 3
2.1.1.Sóng vơ tuyến (RF) ............................................................................................ 3
2.1.2. Cách tạo sóng RF .............................................................................................. 4
2.1.3. Điều khiển từ xa bằng sóng RF ......................................................................... 5
2.2.Giới Thiệu Về Vi Điều Khiển ............................................................................... 6
2.2.1. Giới thiệu về vi điều khiển ................................................................................ 6
2.2.2.Phân loại ............................................................................................................. 6
2.2.3. Cấu trúc tổng quan của vi điều khiển ................................................................ 7
2.3.Giới Thiệu Về Chuẩn Truyền Thông SPI Và UART ............................................ 8
2.3.1. Truyền thông SPI .............................................................................................. 8

2.3.2.Truyền thông UART. ....................................................................................... 10
CHƢƠNG III: THIẾT KẾ PHẦN CỨNG............................................................ 12
3.1.Sơ Đồ Khối .......................................................................................................... 12
3.1.1.Sơ đồ khối tổng quan của hệ thống .................................................................. 12
3.1.2.Sơ đồ khối Master ............................................................................................ 13
3.1.3.Sơ đồ khối Slave .............................................................................................. 13
3.2.Các Khối Cơ Bản ................................................................................................ 14
3.2.1. Khối xử lý........................................................................................................ 14
3.2.2.Khối truyền nhận .............................................................................................. 16
3.2.3.Khối cảm biến .................................................................................................. 18
3.2.4.Khối hiển thị. .................................................................................................... 20
3.2.5.Khối UART ...................................................................................................... 21
3.2.6. Khối RELAY .................................................................................................. 22
3.2.7.Khối nguồn ....................................................................................................... 23
3.3.Lƣu Đồ Giải Thuật .............................................................................................. 25
3.3.1 Lƣu đồ giải thuật khối Master .......................................................................... 25
3.3.2.Lƣu đồ giải thuật khối Slave ............................................................................ 26
3.4.Sơ Đồ Nguyên Lý. .............................................................................................. 27
3.4.1.Sơ đồ nguyên lý khối Master ........................................................................... 27
3.4.2.Sơ đồ nguyên lý khối Slave.............................................................................. 28
3.5.Sơ Đồ Mạch In .................................................................................................... 28
CHƢƠNG IV: THIẾT KẾ CHƢƠNG TRÌNH ĐIỀU KHIỂN .......................... 30
GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an


ĐỒ ÁN TỐT NGHIỆP
4.1.Yêu Cầu ............................................................................................................... 30
4.2.Giao Diện Giám Sát Và Điều Khiển ................................................................... 31

4.3.Lƣu Đồ Chƣơng Trình Điều Khiển ..................................................................... 32
CHƢƠNG V : KẾT QUẢ ....................................................................................... 33
5.1. Kết Quả Thực Tế. ............................................................................................... 33
5.2.Nhận Xét ............................................................................................................. 39
CHƢƠNG VI : KẾT LUẬN VÀ PHÁT TRIỂN .................................................. 40
6.1.Kết Luận .............................................................................................................. 40
6.2.Hƣớng Phát Triển. ............................................................................................... 40
PHỤ LỤC ................................................................................................................. 42
I.VI ĐIỀU KHIỂN STM8S003F3P6 ...................................................................... 42
1.Giới thiệu chung. .................................................................................................... 42
2.Sơ đồ chân .............................................................................................................. 44
3.Cấu trúc bộ nhớ ...................................................................................................... 47
4.Xung nhịp hoạt động .............................................................................................. 48
5.Các bộ định thời ..................................................................................................... 49
6. Hoạt động ngắt VĐK. ........................................................................................... 51
7.Hoạt động giao tiếp của VĐK với các ngọa vi. ..................................................... 53
8. Chuyển đổi ADC ................................................................................................... 56
9.Giao tiếp SPI .......................................................................................................... 56
10.Giao tiếp UART ................................................................................................... 58
II. GIỚI THIỆU VỀ MODULE nRF24L01.......................................................... 63
1.Giới thiệu................................................................................................................ 63
2.Khảo sát chip nRF24L01. ...................................................................................... 64
2.1.Cấu trúc phần cứng. ............................................................................................ 64
2.2.Điều kiện làm việc. ............................................................................................. 65
2.3.Hoạt động của nRF24L01. .................................................................................. 65
2.4.Chức năng Shockburst. ....................................................................................... 68
2.5.Giao tiếp SPI của nRF24L01. ............................................................................. 69
2.6.Hoạt động đọc/ghi của chuẩn SPI. ...................................................................... 69
2.7.Các thanh ghi trong nRF24L01. .......................................................................... 70
2.8.Module nRF24L01. ............................................................................................. 79

III.CẢM BIẾN NHIỆT ĐỘ DS18B20. .................................................................. 80
1.Sơ lƣợc về cảm biến nhiệt độ DS18B20 ................................................................ 80
2.Cấu trúc cảm biến DS18B20. ................................................................................. 80
2.5.Giao tiếp giữa Vi điều khiển và DS18B20. ........................................................ 84
IV.CHƢƠNG TRÌNH BIÊN DỊCH VÀ CODE CHƢƠNG TRÌNH .................. 85
1.Chƣơng trình biên dịch. ......................................................................................... 85
2.Code chƣơng trình. ................................................................................................. 85
2.1.Chƣơng trình cho Master. ................................................................................... 85
GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an


ĐỒ ÁN TỐT NGHIỆP
2.2.Chƣơng trình cho Slave....................................................................................... 93
2.3.Các hàm dùng trong chƣơng trình....................................................................... 98
2.4.Code cho giao diện điều khiển .......................................................................... 109
TÀI LIỆU THAM KHẢO ....................................................................................... 116

MỤC LỤC HÌNH
Hình 2.1:Master và Slave độc lập ..................................................................................... 9
Hình 2.2: Cách nối Daisy-Chained ................................................................................. 10
Hình2.3: Giao tiếp UART ................................................................................................ 11
Hình 2.4: Khung dữ liệu cho giao tiếp UART ............................................................... 11
Hình 3.1: Sơ đồ khối tổng quan của hệ thống................................................................ 12
Hình 3.2: Sơ đồ khối Master ............................................................................................ 13
Hình 3.3: Sơ đồ khối Slave .............................................................................................. 13
Hình 3.4: Sơ đồ nguyên lý khối xử lý ............................................................................. 15
Hình 3.5: Module nRF24L01IA ...................................................................................... 17
Hình 3.6: Sơ đồ nguyên lý module nRF24L01IA ......................................................... 17

Hình 3.7: Sơ đồ nguyên lý khối truyền nhận kết nối VĐK.......................................... 18
Hình 3.8: Sơ đồ nguyên lý khối cảm biến kết nối VĐK .............................................. 19
Hình 3.9: Mạch nguyên lý kết nối LCD kết nối VĐK .................................................. 21
Hình 3.10: Nguyên lý khối UART kết nối VĐK........................................................... 22
Hình 3.11: Mạch nguyên lý khối Relay kết nối VĐK ................................................. 23
Hình 3.12: Sơ đồ nguyên lý mạch hạ áp......................................................................... 24
Hình 3.13: Lƣu đồ giải thuật khối Master ...................................................................... 25
Hình 3.14: Lƣu đồ giải thuật khối Slave ........................................................................ 26
Hình 3.15: Sơ đồ nguyên lý mạch Master ...................................................................... 27
Hình 3.16: Sơ đồ nguyên lý khối Slave .......................................................................... 28
Hình 3.17: Sơ đồ sắp xếp linh kiện trên board Master và board Slave ...................... 28
Hình 3.18: Mạch in trên board Master và board Slave ................................................ 29
Hình 4.1: Giao diện điều khiển trên máy tính .............................................................. 31
Hình 4.2: Lƣu đồ giải thuật giao tiếp máy tính.............................................................. 32
Hình 5.1: Cấp nguồn cho board Slave ............................................................................ 33
Hình 5.2: Xác định cổng COM đƣợc kết nối ................................................................. 34
Hình 5.3: Cấp nguồn cho board Master .......................................................................... 35
Hình 5.4: Nhiệt độ hiển thị trên Slave khi cấp nguồn cho Master .............................. 36
Hình 5.5: Lƣu file dữ liệu ................................................................................................. 37
Hình 5.6: Giao điện điều khiển đƣợc kết nối ................................................................ 38
GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an


ĐỒ ÁN TỐT NGHIỆP
Hình 5.7: File dữ liệu đƣợc lƣu với thời gian cập nhât 2s ............................................ 39
Hình 1: Hình ảnh thực tế của STM8 ............................................................................... 42
Hình 2: Sơ đồ chân của STM8S003F3P6....................................................................... 44
Hình 3: Cấu trúc bên trong của STM8S ......................................................................... 46

Hình 4: Bộ nhớ dữ liệu ..................................................................................................... 47
Hình 5: Bộ nhớ chƣơng trình ........................................................................................... 48
Hình 6: Các nguồn xung clock cho VĐK....................................................................... 49
Hình 7: Sơ đồ khối timer 1 ............................................................................................... 50
Hình 8: Sơ đồ khối timer 2 ............................................................................................... 51
Hình 9: Sơ đồ khối cấu trúc thah ghi của VĐK............................................................. 53
Hình 10: Sơ đồ khối giao tiếp SPI ................................................................................... 56
Hình 11: Mơ tả khung hình truyền .................................................................................. 59
Hình 12: Module USB to UART ..................................................................................... 62
Hình 13: Mạch nguyên lý USB to UART ...................................................................... 62
Hình 14 : Sơ đồ khối chip nRF24L01. ............................................................................ 64
Hình 15: Sơ đồ chân chip nRF24L01. ............................................................................ 64
Hình 16: Biểu đồ hoạt động ghi SPI của nRF24L01. ................................................... 70
Hình 17: Biểu đồ hoạt động đọc SPI của nRF24L01. .................................................. 70
Hình 18: Sơ đồ ngun lí module nRF24L01 ................................................................ 80
Hình 19 : Sơ đồ chân của DS18B20. .............................................................................. 80
Hình 20: Sơ đồ khối của DS18B20. ................................................................................ 81
Hình 21: Tổ chức của bộ nhớ đệm. ................................................................................. 81
Hình 22: Các cách cấp nguồn cho DS18B20 ................................................................. 82

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an


ĐỒ ÁN TỐT NGHIỆP

MỤC LỤC BẢNG
Bảng 2.1: Phân loại tần số ......................................................................................... 3
Bảng 3.1: Chức năng các chân của LCD ................................................................. 20

Bảng 1: Một số thông số của STM8S003F3P6 ....................................................... 44
Bảng 2: Chức năng của các chân ............................................................................. 46
Bảng 3: Các sự kiện ngắt ......................................................................................... 52
Bảng 4:Bảng thanh ghi và địa chỉ của các port ....................................................... 54
Bảng 5: Bảng cấu hình ngõ ra/vào cho thanh ghi CR1 và CR2 .............................. 55
Bảng 6: Đặc tính và pha của xung trong giao tiếp SPI ........................................... 57
Bảng 7: Các thanh ghi sử dụng trong giao tiếp SPI................................................. 58
Bảng 8: Mô tả các tốc độ baud ................................................................................ 60
Bảng 9: Cách kiểu định dạng Frame hình để truyền. ............................................. 60
Bảng 10: Thanh ghi sử dung và vùng địa chỉ của chúng trong giao tiếp UART .... 60
Bảng 11: Chức năng các chân của nRF24L01......................................................... 64
Bảng 12: Điều kiện làm việc của nRF24L01. ......................................................... 65
Bảng 13: Các chế độ hoạt động của nRF24L01. ..................................................... 65
Bảng 14: Thời gian chuyển đổi giữa các chế độ...................................................... 67
Bảng 15: Mã lệnh SPI của nRF24L01 ..................................................................... 69
Bảng 16: Kí hiệu trong biểu đồ hoạt động SPI ........................................................ 70
Bảng 17: Register CONFIG..................................................................................... 70
Bảng 18: Register EN_AA ...................................................................................... 71
Bảng 19: Register EN_RXADDR ........................................................................... 72
Bảng 20: Register SETUP_AW.............................................................................. 72
Bảng 21: Register SETUP_RETR ........................................................................... 73
Bảng 22: Register RF_CH ....................................................................................... 73
Bảng 23: Register RF_SETUP ................................................................................ 73
Bảng 24: Register STATUS .................................................................................... 74
Bảng 25: Register OBSERVE_TX .......................................................................... 74
Bảng 26: Register CD .............................................................................................. 75
Bảng 27: Register TX_ADDR ................................................................................. 76
Bảng 28: Register RX_PW_P0  RX_PW_P0 ...................................................... 77
Bảng 29: Register FIFO_STATUS .......................................................................... 78
Bảng 30: Register RX_PLD .................................................................................... 79

Bảng 31: Register TX_PLD..................................................................................... 79
Bảng 32: Lựa chọn độ phân giải cho DS18B20 ...................................................... 83

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG I

CHƢƠNG I: DẪN NHẬP
1.1.Lí Do Chọn Đề Tài.
Ngày nay phƣơng thức truyền nhận dữ liệu không dây đang ngày càng phát triển
và đƣợc ứng dụng rộng rãi trong khoa học kỹ thuật, trong cuộc sống. Các hệ thống
khơng dây thƣờng nhỏ gọn, tiết kiệm chi phí do không phải sử dụng dây nối. Các
hệ thống không dây thƣờng sử dụng sóng wifi, sóng RF. Những ứng dụng, hệ
thống cỡ nhỡ, trung bình thì sử dụng sóng wireless để truyền nhận dữ liệu là một
lựa chọn hợp lí.
Một hệ thống khơng dây khơng chỉ nhỏ gọn nó còn đòi hỏi phải tiết kiệm năng
lƣợng để hệ thống có thể sử dụng trong thời gian dài với các nguồn cấp độc lập
nhƣ pin, năng lƣợng mặt trời. Dựa trên những địi hỏi này nhóm đã quyết định sử
dụng dòng vi điều khiển STM8 của hãng STMicroelectronic, một dòng vi điều
khiển sử dụng nguồn áp thấp 3.3V và module thu phát sóng RF nRF24L01 của
Nordic cũng sử dụng điện áp 3.3V cho đề tài . Với module nRF24L01 có ƣu điểm
là dễ sử dụng, khoảng cách truyền nhận xa, sử dụng tần số sóng RF ở 2.4GHz nên
độ chính xác cao, ít nhiễu.
1.2.Giới Thiệu Đề Tài.
Đề tài mà nhóm thực hiện là “ Hệ thống giám sát điều khiển thiết bị sử dụng vi

điều khiển STM8S003F3P6 và sóng RF ”. Do vấn đề thời gian cũng nhƣ giới hạn
về tiền bạc nên trong đề tài nhóm thực hiện chỉ sử dụng cảm biến nhiệt độ
DS18B20 để giám sát, kiểm tra nhiệt độ của hệ thống. Đề tài sử dụng VĐK
STM8S003F3P6 để xử lí dữ liệu và điều khiển, sử dụng module nRF24L01 để
truyền nhận dữ liệu. Để thuận tiện cho việc nghiên cứu trong đề tài nhóm đã dùng
mạch chuyển USB sang UART ( FT232RL) để giao tiếpUART giữa vi điều khiển
với máy tính.
Trong đề tài này nhóm xây dựng một mơ hình gồm 1 master và 1 slave để
truyền nhận dữ liệu sử dụng cho giám sát và điều khiển. Bên cạnh hiển thị thông
tin nhiệt độ trên LCD tại các module, nhóm cịn xây dựng giao diện giám sát, điều
khiển trên máy tính để tiện lợi cho ngƣời dùng.
GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 1


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG I

1.3.Ý Nghĩa Đề Tài.
Việc nghiên cứu đề tài là cơ sở, nền tảng để có thể thiết kế các hệ thống không
dây ứng dụng trong cuộc sống. Ví dụ : Các hệ thống điều khiển, cảnh báo không
dây từ xa, hệ thống truyền nhận dữ liệu không dây. Với ƣu điểm nhỏ gọn, tiết kiệm
năng lƣợng.
1.4.Nội Dung Nghiên Cứu.
-


Thiết kế kế phần cứng cho đề tài

-

Thiết kế chƣơng trình điều khiển.

-

Thiết lập hệ thống giám sát điều khiển sử dụng sóng RF.

-

Tạo giao diện giám sát điều khiển trên máy tính bằng ngơn ngữ lập tình C# sử
dụng phần mềm Microsoft visual studio 2010.

-

Truyền nhận thông tin bằng sóng RF.

-

Tìm hiểu vi điều khiển STM8S ( Đại diện là con STM8S003F3P6), module
nRF24L01 và cảm biến nhiệt độ DS18B20.

1.5.Giới Hạn Đề Tài.
- Mơ hình đề tài chỉ giới hạn ở 1 master, 1 slave.
- Khoảng cách truyền nhận dữ liệu của các module từ 30m – 40m.
- Số lƣợng cảm biến ít. Chỉ giới hạn sử dụng cảm biến nhiệt độ DS18B20.
- Chƣa có nguồn bổ sung cho mạch khi có sự cố mất điện.


GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 2


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG II

CHƢƠNG II: CƠ SỞ LÝ THUYẾT
2.1.Giới Thiệu Về Sóng RF Và Điều Khiển Từ Xa Bằng Sóng RF
2.1.1.Sóng vơ tuyến (RF)
Những dao động điện từ có tần số hàng chục và hàng trăm Hz bức xạ rất yếu.
Sóng điện từ của chúng khơng có khả năng truyền đi xa. Trong thông tin vô tuyến,
ngƣời ta sử dụng những sóng có tần số từ hàng nghìn Hz trở lên, gọi là sóng vơ
tuyến.Sóng RF (tần số vơ tuyến) là sóng điện từ có dải tần số nằm trong khoảng
3 KHz tới 300 GHz.
Bảng 2.1 : Phân loại tần số

Tần số

Bƣớc
sóng

Tên gọi

30 –
300 Hz


10^4 km10^3 km

Tần số cực
kỳ thấp

ELF

300 –
3000 Hz

10^3 kmTần số thoại
100 km

VF

3–
30 kHz

100 km10 km

Tần số rất
thấp

VLF

30 –
300 kHz

10 km1 km


Tần số thấp

LF

300 kHz 3 MHz

1 km100m

Tần số trung
bình

MF

3 MHz 30 MHz

100m10m

Tần số cao

HF

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Tên
gọi

Công dụng

Chứa tần số điện mạng
xoay chiều, các tín hiệu đo
lƣờng từ xa tần thấp.
Chứa các tần số kênh thoại
tiêu chuẩn.
Chứa phần trên của dải
nghe đƣợc của tiếng nói.
Dùng cho hệ thống an ninh,
quân sự,
chuyên dụng, thông tin
dƣới nƣớc (tàu ngầm).
Dùng cho dẫn đƣờng hàng
hải và hàng khơng.
Dùng cho phát thanh
thƣơng mại sóng trung
(535 – 1605 kHz). Cũng
đƣợc dùng cho dẫn đƣờng
hàng hải và hàng không.
Dùng trong thơng tin vơ
tuyến 2 chiều với mục đích
thơng tin ở cự ly xa xuyên
lục địa, liên lạc hàng hải,
hàng không, nghiệp dƣ,
phát thanh quảng bá...

Trang 3


ĐỒ ÁN TỐT NGHIỆP


CHƢƠNG II

30 300 MHz

10m-1m

Tần số rất
cao

VHF

300 MHz
- 3 GHz

1m10 cm

Tần số cực
cao

UHF

3–
30 GHz

10 cm1 cm

Tần số siêu
cao

SHF


30 –
300 GHz

1 cm1mm

Tần số cực
kỳ cao

EHF

Dùng cho vô tuyến di động,
thông tin hàng hải và hàng
không, phát thanh FM
thƣơng mại (88 đến
108 MHz), truyền hình
thƣơng mại
(kênh 2 đến 12 tần số từ 54
- 216 MHz).
Dùng cho các kênh truyền
hình thƣơng mại từ kênh 14
đến kênh 83, các dịch vụ
thông tin di động mặt đất,
di động tế bào, một số hệ
thống radar và dẫn đƣờng,
hệ thống vi ba và vệ tinh.
Dùng cho các kênh truyền
hình thƣơng mại từ kênh 14
đến kênh 83, các dịch vụ
thông tin di động mặt đất,

di động tế bào, một số hệ
thống radar và dẫn đƣờng,
hệ thống vi ba và vệ tinh.
Ít sử dụng trong thơng tin
vơ tuyến.

2.1.2. Cách tạo sóng RF
 Cách tạo ra sóng RF :
- Để có sóng RF dùng trong điề u khiể n vô tuyế n , khởi đầ u ngƣời ta dùng ma ̣ch
dao đô ̣ng cô ̣ng hƣởng LC đƣơ ̣c kế t nố i bởi mô ̣t cuô ̣n dây và mô ̣t tu ̣ điê ̣n . Khi
mạch LC bị kích thích , trong c ̣n dây sẽ xuấ t hiê ̣n tƣ̀ trƣờng và trong tu ̣ điê ̣n
sẽ xuất hiện điện trƣờng . Khi vào tra ̣ng thái cô ̣ng hƣởng , tƣ̀ trƣờng trong cuô ̣n
dây L và điê ̣n trƣờng trong tu ̣ C sẽ kế t hơ ̣p ta ̣o ra da ̣ng sóng điê ̣n tƣ̀ trƣờng
.
Dùng dây anten phù h ợp cho phát sóng trong ma ̣ch LC vào không gian , đến
đây sóng RF dùng cho công viê ̣c điề u khiể n vô tuyế n đã đƣ ợc tạo ra.
1

-

Tần số cộng hƣởng là 𝑓0 =

-

Dùng mạch cộng hƣởng LC tạo sóng mang có tần số lớn, sau đó tạo ra các mã
lệnh điều khiển, gắn các mã lệnh điều khiển này vào sóng mang bằng các
phƣơng pháp điều chế rồi phát chúng vào không gian.

2𝜋 𝐿𝐶


GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 4


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG II

2.1.3. Điều khiển từ xa bằng sóng RF
Là loại điều từ xa xuất hiện đầu tiên và đến nay vẫn giữ một vai trò quan trọng
và phổ biến trong đời sống. Nếu điều khiển dùng hồng ngoại (IR) chỉ dùng trong
nhà thì điều khiển RF lại dùng cho nhiều vật dụng bên ngoài nhƣ các thiết bị mở
cửa garage xe, hệ thống điều khiển từ xa dùng trong các loại đồ chơi điện tử, hệ
thống vệ tinh …
 Hoạt động
Với loại điều khiển này, nó cũng sử dụng nguyên lý tƣơng tự nhƣ điều khiển
bằng hồng ngoại nhƣng thay vì gửi đi các tín hiệu ánh sáng, nó lại truyền sóng vơ
tuyến tƣơng ứng với các lệnh nhị phân. Bộ phận thu sóng vơ tuyến trên thiết bị
đƣợc điều khiển nhận tín hiệu và giải mã nó.
 Ƣu điểm:
- Truyền xa hơn IR với khoảng cách khoảng 30m hoặc có thể lên tới 100m.
- Truyền xuyên tƣờng, kính …
 Nhƣợc điểm: Bị nhiễu sóng do bên ngồi có rất nhiều các thiết bị máy móc sử
dụng các tần số khác nhau
 Các khắc phục:Tránh nhiễu sóng bằng cách truyền ở các tần số đặc biệt và
nhúng mã kĩ thuật số địa chỉ của thiết bị nhận trong các tín hiệu vơ tuyến. Điều
này giúp bộ thu vơ tuyền trên thiết bị hồi đáp tín hiệu tƣơng ứng một cách chính

xác.

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 5


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG II

2.2.Giới Thiệu Về Vi Điều Khiển
2.2.1. Giới thiệu về vi điều khiển
Bộ Vi xử lý có khả năng vƣợt bậc so với các hệ thống khác về khả năng tính
tốn, xử lý, và thay đổi chƣơng trình linh hoạt theo mục đích ngƣời dùng, đặc biệt
hiệu quả đối với các bài toán và hệ thống lớn.Tuy nhiên đối với các ứng dụng nhỏ,
tầm tính tốn khơng địi hỏi khả năng tính tốn lớn thì việc ứng dụng vi xử lý cần
cân nhắc. Bởi vì hệ thống dù lớn hay nhỏ, nếu dùng vi xử lý thì cũng đòi hỏi các
khối mạch điện giao tiếp phức tạp nhƣ nhau. Các khối này bao gồm bộ nhớ để chứa
dữ liệu và chƣơng trình thực hiện, các mạch điện giao tiếp ngoại vi để xuất nhập và
điều khiển trở lại, các khối này cùng liên kết với vi xử lý thì mới thực hiện đƣợc
cơng việc. Để kết nối các khối này đòi hỏi ngƣời thiết kế phải hiểu biết tinh tƣờng
về các thành phần vi xử lý, bộ nhớ, các thiết bị ngoại vi. Hệ thống đƣợc tạo ra khá
phức tạp, chiếm nhiều không gian, mạch in phức tạp và vấn đề chính là trình độ
ngƣời thiết kế. Kết quả là giá thành sản phẩm cuối cùng rất cao, không phù hợp để
áp dụng cho các hệ thống nhỏ.
Vì một số nhƣợc điểm trên nên các nhà chế tạo tích hợp một ít bộ nhớ và một số
mạch giao tiếp ngoại vi cùng với vi xử lý vào một IC duy nhất đƣợc gọi là

Microcontroller - Vi điều khiển.
 Một số đặc điểm khác nhau giữa vi xử lí và VĐK:
- Về phần cứng: VXL cần đƣợc ghép thêm các thiết bị ngoại vi bên ngoài nhƣ bộ
nhớ, và các thiết bị ngoại vi khác… để có thể tạo thành một bản mạch hồn
chỉnh. Đối với VĐK thì bản thân nó đã là một hệ máy tính hồn chỉnh với CPU,
bộ nhớ, các mạch giao tiếp, các bộ định thời và mạch điều khiển ngắt đƣợc tích
hợp bên trong mạch.
- Về các đặc trƣng của tập lệnh: Do ứng dụng khác nhau nên các bộ VXL và
VĐK cũng có những yêu cầu khác nhau đối với tập lệnh của chúng. Tập lệnh
của các VXL thƣờng mạnh về các kiểu định địa chỉ với các lệnh cung cấp các
hoạt động trên các lƣợng dữ liệu lớn nhƣ 1byte, ½ byte, word, double word...Ở
các bộ VĐK, các tập lệnh rất mạnh trong việc xử lý các kiêu dữ liệu nhỏ nhƣ
bit hoặc một vài bit.
- Do VĐK cấu tạo về phần cứng và khả năng xử lí thấp hơn nhiều soi với VXL
nên giá thành của VĐK cũng rẻ hơn nhiều. Tuy nhiên nó vẫn đủ khả năng đáp
ứng đƣợc tất cả các yêu cầu của ngƣời dùng.
- Vi điều khiển đƣợc ứng dụng trong các dây chuyền tự động loại nhỏ, các robot
có chức năng đơn giản, trong máy giặt, ôtô v.v...
2.2.2.Phân loại
 Độ dài thanh ghi
- Dựa vào độ dài của các thanh ghi và các lệnh của VĐK mà ngƣời ta chia ra các
loại VĐK 8bit, 16bit, hay 32bit....
- Các loại VĐK 16bit do có độ dài lệnh lớn hơn nên các tập lệnh cũng nhiều hơn,
GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 6



ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG II

phong phú hơn. Tuy nhiên bất cứ chƣơng trình nào viết bằng VĐK 16bit chúng
ta đều có thể viết trên VDK 8bit với chƣơng trình thích hợp.
 Kiến trúc CISC và RISC
- Kiến trúc CISC là VĐK hoặc VXL có tập lệnh phức tạp. Các VĐK này có
một số lƣợng lớn các lệnh nên giúp cho ngƣời lập trình có thể linh hoạt và dễ
dàng hơn khi viết chƣơng trình.
- Cấu trúc RISC là VĐK có tập lệnh đơn giản. Chúng có một số lƣợng nhỏ các
lệnh đơn giản. Do đó, chúng địi hỏi phần cứng ít hơn, giá thành thấp hơn, và
nhanh hơn so với CISC.Tuy nhiên nó địi hỏi ngƣời lập trình phải viết các
chƣơng trình phức tạp hơn, nhiều lệnh hơn.
 Kiến trúc Harvard và kiến trúc Vonneumann
- Kiến trúc Harvard sử dụng bộ nhớ riêng biệt cho chƣơng trình và dữ liệu.
Bus địa chỉ và bus dữ liệu độc lập với nhau nên quá trình truyền nhận dữ liệu
đơn giản hơn.
- Kiến trúc Vonneumann sử dụng chung bộ nhớ cho chƣơng trình và dữ
liệu,điều này làm cho VĐK gọn nhẹ hơn, giá thành nhẹ hơn.
 Một số loại VĐK có trên thị trƣờng:
- VĐK MCS-51: 8031, 8032, 8051, 8052, ...
- VĐK ATMEL: 89Cxx, AT89Cxx51..
- VĐK AVR AT90Sxxxx
- VĐK PIC 16C5x, 17C43...
2.2.3. Cấu trúc tổng quan của vi điều khiển
 CPU:Là trái tim của hệ thống. Là nơi quản lí tất cả các hoạt động của VĐK. Bên
trong CPU gồm:
- ALU là bộ phận thao tác trên các dữ liệu.
- Bộ giải mã lệnh và điều khiển, xác định các thao tác mà CPU cần thực hiện.

- Thanh ghi PC, lƣu giũ địa chỉ của lệnh kế tiếp cần thực thi.
- Một tập các thanh ghi dùng để lƣu thông tin tạm thời.
- Thanh ghi lệnh IR, lƣu giữ opcode của lệnh đƣợc thực thi.
 ROM: là bộ nhớ dùng để lƣu giữ chƣơng trình. ROM cịn dùng để chứa số liệu
các bảng, các tham số hệ thống, các số liệu cố định của hệ thống. Trong quá
trình hoạt động nội dung ROM là cố định, không thể thay đổi, nội dung ROM
chỉ thay đổi khi ROM ở chế độ xóa hoặc nạp chƣơng trình.
 RAM:là bộ nhớ dữ liệu. Bộ nhớ RAM dùng làm môi trƣờng xử lý thông tin, lƣu
trữ các kết quả trung gian và kết quả cuối cùng của các phép tốn, xử lí thơng
tin. Nó cũng dùng để tổ chức các vùng đệm dữ liệu, trong các thao tác thu phát,
chuyển đổi dữ liệu.
 BUS:là các đƣờng dẫn dùng để di chuyển dữ liệu,bao gồm: bus địa chỉ,bus dữ
liệu và bus điều khiển
GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 7


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG II

 Bộ định thời: Đƣợc sử dụng cho các mục đích chung về thời gian.
 Watchdog: Bộ phận dùng để reset lại hệ thống khi hệ thống gặp “bất thƣờng”.
 ADC: Bộ phận chuyển tín hiệu analog sang tín hiệu digital. Các tín hiệu bên
ngồi đi vào VDK thƣờng ở dạng analog, ADC sẽ chuyển tín hiệu này về dạng
tín hiệu digital mà VDK có thể hiểu đƣợc.
2.3.Giới Thiệu Về Chuẩn Truyền Thông SPI Và UART

2.3.1. Truyền thông SPI
- SPI (Serial Peripheral Bus) là một chuẩn truyền thông nối tiếp tốc độ cao do
hang Motorola đề xuất. Đây là kiểu truyền thơng Master-Slave, trong đó có 1
chip Master điều phối q trình tuyền thơng và các chip Slaves đƣợc điều khiển
bởi Master vì thế truyền thơng chỉ xảy ra giữa Master và Slave. SPI là một cách
truyền song công (full duplex) nghĩa là tại cùng một thời điểm q trình truyền
và nhận có thể xảy ra đồng thời.Khoảng cách truyền ngắn thƣờng để trao đổi dữ
liệu với giữa các chip trên cùng một board mạch,tốc độ truyền khoảng vài
Mhz/s.Đa số các dịng VĐK thƣờng tích hợp sẵn module giao tiếp SPI,dung để
giao tiếp truyền dữ liệu với VĐK khác,hay với các ngoại vi nhƣ: cảm
biến,ADC,SDcard,EPPROM.. SPI đơi khi đƣợc gọi là chuẩn truyền thơng “4
dây” vì có 4 đƣờng giao tiếp trong chuẩn này đó là SCK (Serial Clock), MISO
(Master Input Slave Output), MOSI (Master Ouput Slave Input) và SS (Slave
Select). Hình 2.1 thể hiện một kết SPI giữa một chip Master và 3 chip Slave
thông qua 4 đƣờng.
- SCK: Xung giữ nhịp cho giao tiếp SPI, vì SPI là chuẩn truyền đồng bộ nên cần
1 đƣờng giữ nhịp, mỗi nhịp trên chân SCK báo 1 bit dữ liệu đến hoặc đi. Đây là
điểm khác biệt với truyền thông không đồng bộ mà chúng ta đã biết trong
chuẩn UART. Sự tồn tại của chân SCK giúp q trình tuyền ít bị lỗi và vì thế
tốc độ truyền của SPI có thể đạt rất cao. Xung nhịp chỉ đƣợc tạo ra bởi chip
Master.
- MISO– Master Input / Slave Output: nếu là chip Master thì đây là đƣờng Input
cịn nếu là chip Slave thì MISO lại là Output. MISO của Master và các Slaves
đƣợc nối trực tiếp với nhau..
- MOSI – Master Output / Slave Input: nếu là chip Master thì đây là đƣờng
Output cịn nếu là chip Slave thì MOSI là Input. MOSI của Master và các
Slaves đƣợc nối trực tiếp với nhau.
- SS – Slave Select: SS là đƣờng chọn Slave cần giap tiếp, trên các chip Slave
đƣờng SS sẽ ở mức cao khi không làm việc. Nếu chip Master kéo đƣờng SS của
một Slave nào đó xuống mức thấp thì việc giao tiếp sẽ xảy ra giữa Master và

Slave đó. Chỉ có 1 đƣờng SS trên mỗi Slave nhƣng có thể có nhiều đƣờng điều
khiển SS trên Master, tùy thuộc vào thiết kế của ngƣời dùng.

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 8


ĐỒ ÁN TỐT NGHIỆP
-

CHƢƠNG II

Hoạt động:mỗi chip Master hay Slave có một thanh ghi dữ liệu 8 bit. Cứ mỗi
xung nhịp do Master tạo ra trên đƣờng giữ nhịp SCK, một bit trong thanh ghi
dữ liệu của Master đƣợc truyền qua Slave trên đƣờng MOSI, đồng thời một bit
trong thanh ghi dữ liệu của chip Slave cũng đƣợc truyền qua Master trên đƣờng
MISO. Do 2 gói dữ liệu trên 2 chip đƣợc gởi qua lại đồng thời nên quá trình
truyền dữ liệu này đƣợc gọi là song cơng.
Có 2 cách kết nối Master và Slave:
 Master & Slave độc lập :

Hình 2.1:Master và Slave độc lập

Ở phƣơng pháp này, chip master cần nhiều đƣờng SS, có thể thay thế bằng
đƣờng IO thông thƣờng. Trong một thời điểm chỉ nên giao tiếp với một chip slave
để tránh trƣờng hợp các chip slave đẩy dữ liệu về cùng lúc sẽ gây lỗi dữ liệu trên
đƣờng MISO.


GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 9


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG II

 Cách nối Daisy-Chained :

Hình 2.2: Cách nối Daisy-Chained
Ví dụ ta thực hiện giao tiếp SPI giữa Master và 3 thiết bị Slave. Cách nối dây
nhƣ hình vẽ, khác với cách kết nối cơ bản, ở phƣơng pháp này ta chỉ sử dụng duy
nhất 1 chân SS (Chip Select). Giả sử Master truyền đi 3 Byte dữ liệu lên Bus SPI.
Byte đầu tiên đƣợc dịch vào Slave A. khi byte thứ hai truyền đến A, byte đầu tiên sẽ
bị đẩy ra khỏi A và truyền đến B. Tƣơng tự, khi byte thứ ba truyền vào A, byte thứ
hai sẽ bị dịch sang B và Byte đầu tiên sẽ bị dịch sang C. Nếu Master muốn đọc lại
dữ liệu trong Slave A, nó phải truyền lại chuỗi 3 Byte dữ liệu (giả) lần nữa. Khi đó
dữ liệu trong A sẽ chuyển sang B rồi chuyển sang C, sau đó về Master. Suốt q
trình sử lý, Master ln nhận đƣợc Byte dữ liệu từ Slave B và C.
Tuy nhiên, cách nối SPI Daisy-Chained không phải lúc nào cũng áp dụng đƣợc
cho tất cả các thiết bị Slave. Do đó, ta cần phải tham khảo datasheet trƣớc khi tiến
hành kết nối.
2.3.2.Truyền thông UART.
UARTlà viết tắt của Universal Asynchronous Receive/Transmitter,tức là truyền
nhận dữ liệu nối tiếp không đồng bộ giữa hai thiết bị. Sử dụng hai đƣờng truyền để

truyền nhận dữ liệu đã đƣợc chuẩn hóa thành các khung dữ liệu, và sử dụng chung
một tần số xung clock. Sử dụng giao tiếp UART có ƣu điểm là sử dụng ít đƣờng
truyền, thiết lập cấu hình cũng nhƣ phƣơng thức truyền đơn giản.

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 10


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG II

RX
VĐK

TX

RX GENER
ICDEVI
TX
CE

Hình2.3: Giao tiếp UART

Tuy nhiên cần thiết lập tốc độ truyền, tần số xung cũng nhƣ khung truyền một cách
chính xác là giống nhau giữa hai thiết bị truyền nhận:
- Baud rate: tốc độ Baud là tốc độ bit chia cho số bit đƣợc biểu diễn trong mỗi

đơn vị truyền.
- Frame(khung truyền): bên cạnh tốc độ Baud, khung truyền là một yếu tố quan
trọng tạo nên sự thành cơng trong q trình truyền nhận dữ liệu. Khung truyền
bao gồm các quy định về số bit mỗi lần truyền, các start bit, stop bit, bit kiểm
tra parity và các bit data cũng đƣợc quy định bởi khung truyền:
- Start bit: là bit đầu tiên trong khung truyền, bit này báo cho thiết bị nhận biết
rằng có dữ liệu đang truyền tới.
- Data bit: là thông tin cần truyền, có thể có 7 hoặc 8 bit.
-

Parity bit: là bit kiểm tra dữ liệu truyền đúng không, gồm có parity chẵn và
parity lẻ.
Stop bit: là bit báo cho thiết bị nhận biết là dữ liệu đã đƣợc gửi xong.

Hình 2.4: Khung dữ liệu cho giao tiếp UART

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 11


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG III

CHƢƠNG III: THIẾT KẾ PHẦN CỨNG
3.1.Sơ Đồ Khối
3.1.1.Sơ đồ khối tổng quan của hệ thống


PHẦN MỀM(GIAO
DIỆN ĐIỀU KHIỂN)

PHẦNCỨNG
(MASTER)

PHẦNCỨNG
(SLAVE)

Hình 3.1: Sơ đồ khối tổng quan của hệ thống

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 12


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG III

3.1.2.Sơ đồ khối Master

KHỐI
HIỂN THỊ

KHỐI
NGUỒN


KHỐI
TRUYỀN
NHẬN

KHỐI
XỬ LÝ

KHỐI
CẢM BIẾN

KHỐI
UART

KHỐI
RELAY

Hình 3.2: Sơ đồ khối Master

3.1.3.Sơ đồ khối Slave

KHỐI HIỂN
THỊ

KHỐI
NGUỒN

KHỐI
TRUYỀN
NHẬN


KHỐI
XỬ LÝ

KHỐI
RELAY

KHỐI CẢM
BIẾN

Hình 3.3 : Sơ đồ khối Slave

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 13


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG III

3.2.Các Khối Cơ Bản
3.2.1. Khối xử lý.
Là bộ xử lý trung tâm của khối Master và khối Slave,điều khiển việc truyền
nhận dữ liệu giữa 2 khối Master và Slave thông qua module nRF24L01,đọc giá trị
cảm biển,xuất giá trị nhiệt độ ra khối hiển thị,điều khiển relay..bên cạnh đó khối xử
lý trên board Master cịn đảm nhiệm thêm vai trò truyền nhận dữ liệu với giao diện
điều khiển(máy tính).

Giới thiệu VĐK STM8S003F3P6
- STM8 là dịng vi điều khiển 8 bit của hãng ST Microelectronic.
- Tƣơng tự nhƣ các dòng VĐK 8 bit khác nhƣ AVR, PIC, 8051 … STM8S có
các ngoại vi cơ bản nhƣ GPIO, ADC 10 bits, 3 bộ TIMER, UART, SPI, I2C,
PWM, bên cạnh đó nó cịn hỗ trợ các ngoại vi phức tạp nhƣ USB, CAN, IrDA,
LIN.
- Bộ nhớ nội 128 bytes EEPROM 8 Kbytes FLASH. Rất dễ xây dựng và phát
triền các ứng dụng nhỏ hay driver (ứng dụng chuyên biệt để thực hiện một điều
gì đó nhƣ điều khiển động cơ, giao tiếp với LCD,…).
- Mức điện áp hoạt động cho cả 3.3V và 5V
- Đƣợc hỗ trợ thƣ viện xây dựng sắn của ST.
- Code trực quan, sinh động bằng ngơn ngữ C.
- Nạp chƣơng trình đơn giản bằng giao thức 1 dây (SWIM), rất nhanh.

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 14


ĐỒ ÁN TỐT NGHIỆP

CHƢƠNG III

 Sơ đồ mạch kết nối

Hình 3.4: Sơ đồ nguyên lý khối xử lý

Reset :Có tác dụng reset toàn bộ mạch, đƣa về trạng thái ban đầu, khi mạch xảy ra

sự cố
Đế nạp: Khi nạp chƣơng trình cho chip, ta cần quan tâm đến 4 chân đó là VDD,
GND, CE và RST. Nạp chƣơng trình với giao thức SWIM (single wire interface
module), với tốc độ 145 byte/ms.
- Tính tốn thiết kế:ở đây ta dùng xung tác động cạnh xuống để thực hiện các yêu
cầu khi nhấn nút.
- Ta chọn thời hằng khoảng 1us: t = R x C
- Chọn R=10k và C= 104p

GVHD: ThS. DƢƠNG THỊ CẨM TÚ

do an

Trang 15


×