Tải bản đầy đủ (.pdf) (22 trang)

Công nghệ và doanh nhân ở Thung lũng Silicon pps

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (474.74 KB, 22 trang )

138

Những bài báo vật lí hay – Tập 1 | © hiepkhachquay

Công nghệ và doanh nhân ở Thung lũng Silicon
Christophe Lécuyer

Các công ti Thung lũng Silicon đã phát triển và thương mại hóa một số công nghệ điện
và y sinh quan trọng nhất trong nửa sau của thế kỉ 20. Khi làm như thế, họ đã chuyển hóa một
vùng chủ yếu là nông nghiệp ở nam bán đảo San Francisco thành một phức hợp công nghệ cao
chủ chốt nằm ở trung tâm của cuộc cách mạng thông tin và công nghệ sinh học. Năm 2000, các
công ti công nghệ cao ở Thung lũng Silicon sử dụng hơn nửa triệu kĩ sư, nhà khoa học, nhà quản
lí và nhà điều hành trong lĩnh vực công nghiệp, từ linh kiện điện tử cho đến máy vi tính. Tình
hình này trái ngược hẳn với những ngày khởi đầu khiêm tốn của Thung lũng khi các công ti vô
tuyến ở bán đảo San Francisco sử dụng vài trăm kĩ sư và công nhân và hoạt động núp bóng
những công ti Viễn Đông lớn như RCA, General Electric, và Westinghouse. Sự lớn mạnh của
Thung lũng Silicon từ thập niên 1930 đến thập niên 1990 là một quá trình phức tạp và bất ngờ.
Nó được định hình bởi những làn sóng liên tiếp cách tân và đầu tư, sự xuất hiện của các kiểu tài
chính mới như nguồn vốn mạo hiểm, và nhu cầu quân sự và thương mại tăng dần đối với các sản
phẩm điện tử và y sinh.

Mạch tích hợp phẳng đầu tiên, năm 1960. Do Lionel Kattner và Isy Haas thiết kế và chế tạo,
dưới quyền chỉ đạo của Jay Last tại Fairchild Semiconductor
Sự phát triển của các loại ống và chất bán dẫn
Ban đầu, Thung lũng Silicon xuất hiện là một khu công nghiệp chuyên về linh kiện điện
tử, nhất là các ống lưới cấp điện, ống vi sóng và chất bán dẫn. Phân khu hệ thống điện tử của nó,
© hiepkhachquay | 139

với các công ti như Hewlett-Packard, vẫn tương đối nhỏ bé mãi cho đến cuối thập niên 1960.
Nền công nghiệp ống cấp điện lưới đã được thiết lập bởi những người yêu thích điện tử trong
Cuộc khủng hoảng Lớn. Một phần là do vị thế hướng ra biển của nó, bắt đầu trong thập niên


1900 và 1910, khu vực Vịnh San Francisco là một trong những trung tâm nghiệp dư vô tuyến lớn
nhất ở nước Mĩ. Cộng đồng nhà đầu tư theo sở thích đầy sức sống của vùng bán đảo đã tạo ra các
chuyên gia ống cấp điện lưới và các ông chủ như Charles Litton, William Eitel, và Jack
McCullough. Những người này đã thập phòng thí nghiệm Eitel-McCullough (Eimac) và Litton
Engineering vào đầu và giữa thập niên 1930. Trong khi Litton Engineering sản suất thiết bị chế
tạo ống, thì Eimac chuyên sản suất ống truyền dùng cho những người nghiệp dư vô tuyến. Trong
Thế chiến thứ hai, Eimac và những tập đoàn ống địa phương khác đã cung cấp những ống này
với khối lượng lớn cho quân đội Mĩ khi họ cần cấp điện cho các bộ radar tần số cao và các bộ
truyền dẫn viễn thông vô tuyến.

Biểu đồ về nhân công trong sản suất linh kiện điện tử ở Thung lũng Silicon thời kì 1934-1972:
ống cấp điện lưới, ống vi sóng và linh kiện Silicon.
Trong Thế chiến thứ hai, một nhóm công nghệ và đầu tư khác đã xây dựng một nền công
nghiệp linh kiện điện tử liên quan gần gũi, sản suất ống vi sóng, trên bán đảo San Francisco.
Nhóm người này thường nghiên cứu vật lí hay kĩ thuật điện và tiến hành nghiên cứu điện tử tại
Đại học Stanford vào thập niên 1930 và 1940. Chủ yếu trong số họ là Russell và Sigurd Varian,
William Hansen, và Edward Ginzton, cùng với nhau tại Stanford vào cuối thập niên 1930 họ đã
phát triển klystron, ống đầu tiên có khả năng phát ra sóng điện từ ở tần số vi sóng. Sau một thời
gian làm việc không hiệu quả tại Sperry Gyroscope ở Viễn Đông, những người này đã quay lại
vùng bán đảo và thành lập Hiệp hội Varian năm 1948. Những công ti khác ra đời tiếp sau đó.
140

Những bài báo vật lí hay – Tập 1 | © hiepkhachquay

Các công ti như Huggins Laboratories (1948), Stewart Engineering (1952), Watkins-Johnson
(1957), và MEC (1959). Nhờ những đổi mới liên tục trong thiết kế và xử lí ống, những tập đoàn
này đã tự đưa mình trở thành những nhà sản suất Mĩ xuất sắc về klystron, carcinotron, và phân
phối ống phát sóng. Trong Chiến tranh Lạnh, các ống này được sử dụng trong nhiều hệ thống
quân sự như radar và thiết bị đối phó điện tử. Các công ti ống vi sóng và ống cấp điện lưới đã
góp phần xây dựng nên một cơ sở hạ tầng công nghiệp vững chắc trên bán đảo San Francisco.

Họ đã đào tạo hàng nghìn kĩ thuật viên và nhà điều hành tài giỏi, thu hút các đại lí vật liệu
chuyên môn hóa, và sinh ra những cửa hàng máy độ chính xác cao. Kết quả là họ đã khơi dòng
sự phát triển của một nền công nghiệp điện tử khác tính cho đến bấy giờ, nền công nghiệp bán
dẫn, vào cuối thập niên 1950 và 1960.

Các nhà sáng lập Fairchild Semiconductor
William Shockley đã mang điện tử học silicon đến bán đảo San Francisco. Shockley,
mộtngười sinh ở Palo Alto, đã phát minh ra transistor cùng với Jean Bardeen và Walter Brattain
tại phòng thí nghiệm Bell Telephone ở New Jersey, một thành tựu mà nhờ đó sau này nhóm
nghiên cứu đã được trao giải Nobel vật lí. Shockley trở lại vùng bán đảo để thành lập Phòng thí
nghiệm Chất bán dẫn Shockley vào năm 1955. Khi trở lại, Shockley đã tuyển một nhóm các nhà
vật lí và kĩ sư tài năng làm việc cùng với ông – Robert Noyce, Gordon Moore, Jay Last, Eugene
Kleiner, và Jean Hoerni, cùng những người khác nữa. Phản đối phong cách quản lí độc đoán của
Shockley, những người này đã rời bỏ việc để khởi đầu công ti riêng của họ, Fairchild
Semiconductor, với sự hỗ trợ tài chính từ Fairchild Camera and Instruments vào năm 1957.
Trong vòng vài năm, Fairchild Semiconductor đã làm cách mạng hóa nền công nghiệp chất bán
dẫn. Sử dụng một quá trình mới được phát triển gần đấy tại phòng thí nghiệm Bell Telephone,
Fairchild là công ti thương mại đầu tiên đưa transistor silicon tần số cao ra thị trường. Nhóm
nghiên cứu và kĩ thuật viên của nó sau này đã thực hiện quy trình chủ yếu và cách tân thiết kế
cho phù hợp với yêu cầu tin cậy và thực thi chính xác của quân đội Mĩ.
Năm 1959, Hoerni phát triển quá trình phẳng, một đổi mới mang tính cách mạng làm cho
có thể sản suất những linh kiện silicon độ tin cậy cao. Tư bản hóa quá trình này, Noyce đã phát
minh ra mạch tích hợp phẳng (Jack Kilby trước đó đã phát triển một mạch tích hợp đỉnh bằng tại
Texas Instruments). Ý tưởng mạch tích hợp được đưa vào silicon và phát triển thành sản phẩm
© hiepkhachquay | 141

trong hai năm sau đó bởi một nhóm do Last đứng đầu. Fairchild Semiconductor đưa dòng mạch
tích hợp số đầu tiên của nó ra thị trường vào năm 1961.

Thiết bị sản suất tại Fairchild Semiconductor, giữa thập niên 1960

Phản ứng trước sự suy giảm nhu cầu quân sự đối với các linh kiện điện tử vào đầu những
năm 1960, Fairchild Semiconductor đã tạo ra thị trường mới cho transistor và mạch tổ hợp của
nó trong mảng thương mại. Để phù hợp giá cả và nhu cầu của người dùng thương mại, các kĩ sư
của Fairchild đã đưa kĩ thuật sản suất ra khỏi nền công nghiệp điện và tự động và thành lập nhà
máy ở những khu vực giá lao động thấp như Hong Kong và Hàn Quốc. Phòng thí nghiệm ứng
dụng của công ti cũng phát triển các hệ thống mới lạ như bộ thu truyền hình hoàn toàn ở thể rắn
và làm cho những thiết kế này ở mức vô giá đối với khách hàng của nó, nhờ đó gieo mầm thị
trường cho sản phẩm của nó. Để thuyết phục hơn nữa những người dùng thương mại về tiềm
năng của các mạch tích hợp, Moore đã công bố “định luật Moore” nổi tiếng của ông năm 1965.
Moore tiên đoán rằng số transistor có thể nhét trên một mạch silicon sẽ tăng gấp đôi mỗi năm –
từ 50 linh kiện riêng lẻ trong năm 1965 lên 65.000 mười năm sau đó. Sử dụng kĩ thuật tiếp thị
này, Fairchild đã phát triển một thị trường rộng lớn cho dụng cụ của nó vào giữa thập niên 1960.
Năm 1966, Fairchild tự xem mình là nhà sản suất mạch tích hợp khổng lồ và nắm giữ 55% thị
trường các dụng cụ đó ở nước Mĩ.

Biểu đồ định luật Moore
142

Những bài báo vật lí hay – Tập 1 | © hiepkhachquay

Tiếp nhận đầu tư mạo hiểm
Fairchild Semiconductor cũng đã định hình lại phức hợp sản suất điện tử của vùng bán
đảo. Nó mang quỹ đầu tư mạo hiểm và các nhà tư bản mạo hiểm đến khu vực này. Các nhà tài
phiệt và kĩ sư có dính líu đến tổ chức của Fairchild Semiconductor đã thành lập một loạt hiệp hội
đầu tư mạo hiểm như Davis and Rock, và Kleiner Perkins. Thành công của Fairchild cũng dẫn
tới một sự bùng nổ phi thường trên vùng bán đảo trong thập niên 1960 và đầu những năm 1970.
60 công ti chất bán dẫn được thành lập trong khu vực từ năm 1961 đến 1972. Chúng hầu như đều
được sáng lập bởi các cựu kĩ sư và nhà điều hành của Fairchild. Ví dụ, Noyce và Moore đã sáp
nhập Intel năm 1968. Các nhân viên Fairchild khác thành lập Amelco, Signetics, Intersil,
National Semiconductor, và Avanced Micro Devices (AMD). Những tập đoàn này khai thác các

công nghệ mang tính cách mạng do Fairchild Semiconductor phát triển và mở rộng thêm thị
trường thương mại cho mạch tích hợp. Intel sử dụng quá trình MOS mới phát triển tại Fairchild
để sản suất bộ nhớ máy tính hiệu suất cao. Một nhóm kĩ sư Intel gồm Ted Hoff, Federico Faggin,
và Stan Mazor, cũng thiết kế ra bộ vi xử lí, máy vi tính trên một con chip, vào năm 1971. Là kết
quả của những đổi mới này và những đổi mới khác, nền công nghiệp bán dẫn của vùng bán đảo
hết sức phát triển vào cuối thập niên 1960 và nửa đầu thập niên 1970. Tổng số nhân công bán
dẫn trên bán đảo tăng từ 6.000 công nhân năm 1966 lên 27.000 năm 1977. Sự bùng phát nhanh
chóng này đã định hình lại sâu sắc phức hợp sản suất điện tử của vùng. Nó đã biến đổi một khu
công nghiệp bị thống trị bởi nền sản suất ống thành “Thung lũng Silicon”, là một khu vực ngày
càng được nhắc tới vào đầu và giữa thập niên 1970.
Việc kinh doanh linh kiện điện tử và nền công nghiệp tư bản mạo hiểm phát sinh từ
chúng mang lại cơ sở cho sự phát triển bùng nổ của Thung lũng Silicon về những hệ thống công
nghiệp mới như máy tính, thiết bị, và viễn thông trong thập niên 1970 và 1980. Số phận các linh
kiện được đầu tư trở lại trong các dự án máy tính, viễn thông, và thiết bị. Quan trọng hơn, các
mạch tích hợp ngày càng mạnh hơn và rẻ hơn bao giờ hết làm cho có thể thiết kế những hệ thống
hoàn toàn mới. Các công ti mới khởi nghiệp và đã thành lập từ trước khai thác ngay những cơ
hội công nghệ và thương mại mới này. Hewlett-Packard, cho đến khi đó vẫn chỉ tập trung vào
các dụng cụ đo lường điện tử, đã mở rộng kinh doanh của họ sang máy tính, máy tính mini, và
máy in mực phun. Những dự án mới tập trung vào máy tính an toàn (Tandern), video game
(Atari), và thiết bị viễn thông (Rolm). Nhưng chính nền công nghiệp máy tính cá nhân mới đưa
Thung lũng Silicon trở thành một trung tâm quan trọng về sản suất hệ thống điện tử. Nền công
nghiệp này, không phải không giống với nền sản suất ống cấp điện lưới 40 năm trước đó, đã
được thiết lập bởi một nhóm người yêu thích điện tử. Những người say mê này đã tụ họp xung
quanh một câu lạc bộ thân mật, Câu lạc bộ Máy tính Homebrew. Câu lạc bộ này đã làm phát sinh
trên 10 dự án máy tính cá nhân như Processor Technology, Apple Computer, và Osborne
Computer vào giữa thập niên 1970. Được tài trợ bởi cộng đồng tư bản mạo hiểm của vùng bán
đảo và sử dụng các nhà quản lí từng trải từ Fairchild và Intel, Apple nhanh chóng xuất hiện như
một nhà sản suất máy tính cá nhân nổi trội ở Thung lũng Silicon. Nó đưa ra một loạt máy cải
tiến, trong đó có Macintosh năm 1984. Thành ra sự phát triển nhanh chóng của Apple đã làm
bùng nổ nền công nghiệp phần mềm và đĩa cứng trên bán đảo San Francisco.

© hiepkhachquay | 143


Biểu đồ nhân công ở Thung lũng năm 1959, 1975 và 1990
Sự xuất hiện của Công nghệ Sinh học
Đại học Stanford đã làm nở rộ thêm nữa vườn hoa công nghệ và đầu tư của vùng Thung
lũng vào đầu và giữa thập niên 1980. Các nhóm kĩ sư Stanford đã chỉ đạo những chương trình c
và phát triển mang tính đổi mới về cấu trúc máy tính và mạng máy tính với sự tài trợ từ chương
trình VLSI của Cơ quan quản lí các dự án tiên tiến thuộc Bộ quốc phòng (DARPA). Một đội
dưới quyền John Hennessy đã hỗ trợ phát triển bộ vi xử lí RISC (Reduced Instruction Set
Computer). Với sự tài trợ của DARPA, Jim Clark đã phát triển engine hình học để xử lí ảnh ba
chiều. Những nỗ lực xây dựng một mạng máy tính phức tạp tại Stanford đã đưa đến thiết kế của
một trạm máy tính mạnh do Andreas Bechtolsheim thực hiện năm 1981. William Yeager, một kĩ
sư Stanford khác, đã phát triển bộ định tuyến mạng trong năm sau đó. Những công nghệ mới này
(cũng như các công nghệ có liên quan phát triển tại Đại học California, Berkeley) được thương
mại hóa bởi các công ti khởi nghiệp như Cisco Systems, Sun Microsystems, Silicon Graphics, và
MIPS Computer Systems. Trong thập niên 1980 và phần nhiều thập niên 1990, những công ti
này đã tự đặt mình thành nhà cung cấp chủ yếu của các trạm, bộ định tuyến tiên tiến, và những
dụng cụ internet khác.
144

Những bài báo vật lí hay – Tập 1 | © hiepkhachquay

Song song với sự bùng nổ của ngành công nghiệp công nghệ thông tin, vùng Thung lũng
còn chứng kiến sự xuất hiện của một ngành mới, công nghệ sinh học, vào nửa cuối thập niên
1970 và trong thập niên 1980.
Thung lũng Silicon đã mang lại mảnh đất phì nhiêu cho sự hình thành của ngành công
nghiệp mới này. Đại học California, San Francisco (UCSF), Stanford, và Đại học California,
Berkeley có các chương trình sinh học phân tử mạnh – chúng đóng vai trò như mảnh đất ươm
mầm cho các nhà khoa học cũng như nguồn cách tân chủ yếu. Ví dụ, Stanley Cohen và Herbert

Boyer (tương ứng tại Stanford và UCSF) đã phát triển kĩ thuật ADN tái tổ hợp vào đầu những
năm 1970. Đồng thời ngành công nghiệp tư bản mạo hiểm của Thung lũng cũng tài trợ mạnh tay
cho kinh doanh công nghệ sinh học và một số trường hợp giữ vai trò quan trọng trong sự hình
thành của các tập đoàn công nghệ sinh học. Ví dụ, Robert Swanson thuộc Kleiner Parkins đã
thuyết phục Boyer thành lập Genentech năm 1976. Nhiều nhà sinh học tại các trường đại học địa
phương lần lượt được mời đến. Ví dụ, Paul Berg và Arthur Komberg, hai nhà đoạt giải Nobel ở
khoa viện Stanford, đã thành lập DNAX vài năm sau đó. Năm 1984, 22 công ti công nghệ sinh
học đang hoạt động tại vùng Vịnh San Francisco. Việc này khiến cho Thung lũng Silicon là một
trong những trung tâm công nghệ sinh học lớn nhất của nước Mĩ.



400, bộ vi xử lí đầu tiên của Intel và một ảnh chip
Sức mạnh của vùng về công nghệ sinh học và công nghệ thông tin đã mang đến sự ra đời
công nghệ và ngành công nghiệp lai. Ví dụ, IntelliGenetics (1981) đã khai thác sinh học thông
tin, hay sinh học phân tử máy tính. Nó quản lí BIONET, một tài nguyên máy tính quốc gia dành
cho sinh học phân tử cung cấp các cơ sở dữ liệu sinh học phân tử lớn cũng như các công cụ tính
toán và phần mềm phức tạp dùng cho chuỗi tìm kiếm, so khớp và điều chỉnh. Đồng thời, tiêu
biểu cho sự hợp nhất của công nghệ bán dẫn, phần mềm và sinh học phân tử là Genechip. Dụng
cụ này, được Affymetrix phát triển và đưa ra thị trường, được chế tạo với nhiều kĩ thuật giống
như dùng trong sản suất mạch tích hợp. Chip đóng vai trò hệ chẩn đoán ADN thu nhỏ có khả
năng theo dõi vài trăm triệu đặc trưng biểu hiện gen.
Như vậy, từ một vài nhà nghiệp dư vô tuyến làm ăn qua loa với các ống truyền phát vào
cuối những năm 1920 và đầu những năm 1930 đã dẫn đến sự ra đời của một phức hợp công nghệ
© hiepkhachquay | 145

cao phong phú và sôi nổi. Không có gì ngạc nhiên, Thung lũng đã trở thành mô hình điểm cho sự
phát triển vùng và công nghiệp trên nền công nghệ cao. Nhiều chính quyền quốc gia và vùng
miền ở châu Âu, châu Á và Bắc Mĩ đã cố tạo lại bản sao của Thung lũng Silicon, với nhiều mức
độ thành công khác nhau. Những nỗ lực này đa dạng từ Sophia-Antipolis ở vùng Riviera, Pháp,

cho đến công viên công nghệ Hsinchu ở gần Đài Bắc, Đài Loan.

William Shockley, Walter Brattain, và John Bardeen phát minh ra transistor
tại Phòng thí nghiệm Bell Telephone. Họ nhận giải Nobel vật lí năm 1956.
1932
Charles Litton thành lập Phòng thí nghiệm Litton Engineering, nhà sản suất thiết bị chế tạo ống
và nhà cung cấp dịch vụ kĩ thuật ống.
1934
William Eitel và Jack McCullough thàn lập Eitel-McCullough (Eimac), một công ti chuyên sản
suất ống cấp điện lưới.
1937 Russell Varian, Sigurd Varian, và William Hansen phát minh ra ống klystron tại Stanford.
1939 David Packard và William Hewlett thành lập Hewlett-Packard.
Thế chiến 2 Mở rộng của Eimac, Litton Engineering, và Hewlett-Packard.
1946 Charles Litton hợp nhất Litton Industries, nhà sản suất magnetron.
1947
William Shockley, Walter Brattain, và John Bardeen phát minh ra transistor tại Phòng thí
nghiệm Bell Telephone.
1948 Anh em nhà Varian, Edward Ginzton, và Myrl Stearns thành lập Hiệp hội Varian.
1950-1953
Chiến tranh Triều Tiên làm gia tăng sự phát triển của ngành công nghiệp hệ thống và ống truyền
dẫn của vùng bán đảo.
1955 William Shockley thành lập Phòng thí nghiệm Shockley Semiconductor.
1957 Thành lập Fairchild Semiconductor.
1959
Jean Hoerni phát minh ra cách xử lí phẳng tại Fairchild Semiconductor. Tiếp nhận đơn đăng kí
phát minh của Noyce về mạch tích hợp.
1960-1961
Đội nghiên cứu và phát triển dưới quyền Jay Last phát triển ý tưởng mạch tích hợp thành sản
146


Những bài báo vật lí hay – Tập 1 | © hiepkhachquay

phẩm.
1961 Thành lập Amelco and Signetics.
Đầu thập niên
1960
Robert McNamara cải cách quân sự khiến các công ti ở Thung lũng Silico chuyển sang thị
trường thương mại.
1965
Varian hợp nhất với Eimac.

Moore đề xuất “định luật Moore” trong cuốn Điện tử học.
1966
Charles Sporck thuộc Fairchild Semiconductor tiếp quản National Semiconductor, một công ti
bán dẫn Viễn Đông, và biến nó thành nhà sản suất mạch tích hợp đặt tại Thung lũng Silicon.
1968 Noyce và Moore thiết lập Intel.
1971 Ted Hoff, Federico Faggin, và Stan Mazor phát triển bộ vi xử lí tại Intel.
1973
Stanley Cohen thuộc Stanford và Herbert Boyer thuộc UCSF phát triển thủ tục ghép nối và dòng
vô tính ADN.
1975 Thành lập Câu lạc bộ Máy tính Homebrew.
1976
Herbert Boyer và Robert Swanson thành lập Genentech.

Steve Wozniak and Steve Jobs establish Apple Computer.
1981
Thành lập IntelliGenetics.

Andreas Bechtolsheim thiết kế trạm hoạt động SUN.
1982

William Yeager phát triển bộ định tuyến cho Mạng máy tính Đại học Stanford.

Thành lập Sun Microsystems.
1984
Apple Computer tung ra Macintosh.

John Hennessy thành lập MIPS Computer Systems để thương mại hóa công nghệ RISC.

Thành lập Cisco Systems.
1993 Hợp nhất Affymetrix để thương mại hóa GeneChip.
Nguồn: Technology and Entrepreneurship in Silicon Valley (nobelprize.org)
hiepkhachquay dịch
An Minh, ngày 26/02/2008, 17:55:33
© hiepkhachquay | 147

Ông chủ tình cờ
Gordon E. Moore

Giống như nhiều nhà khoa học và kĩ sư khác về sau thành lập công ti, tôi không rời khỏi
Caltech với tư cách một doanh nhân. Tôi không được đào tạo về kinh doanh; sau năm học thứ
hai tại trường, tôi không học thêm bất kì khóa nào ngoài hóa học, toán học và vật lí. Sự nghiệp
doanh nhân của tôi bắt đầu khá bất ngờ.
Và mọi thứ diễn biến khó mà tiên đoán trước. Khi tôi tốt nghiệp Caltech với bằng tiến sĩ
vật lí năm 1954, tôi đi phỏng vấn tìm việc với một vài công ti, một trong số đó là Dow Chemical.
Dow đang bận tâm thành lập một phòng thí nghiệm nghiên cứu ở California, và họ nghĩ tôi có
thể là người mà họ có thể gửi đến tổng hành dinh ở Midland, Michigan, để đào tạo rồi quay trở
về đây về một số vai trò quản lí. Vì thế, họ gửi tôi đến một nhà tâm lí học để kiểm tra mức độ
xem tôi đáp ứng như thế nào. Nhà tâm lí học nói tôi OK về mặt kĩ thuật nhưng tôi sẽ không bao
giờ điều hành được cái gì cả. Cuối cùng thì Dow cho tôi một chỗ làm ở Midland, nhưng không
bao lâu sau thì nó nhượng lại một phần của nó ở California.

Sau cùng, tôi không đi Midland, mà thay vào đó đến Phòng thí nghiệm vật lí ứng dụng tại
Đại học Johns Hopkins, vai trò của phòng thí nghiệm này đối với Johns Hopkins gần như giống
JPL với Caltech, và ở đó tôi có thể tiếp tục nghiên cứu cơ bản trong lĩnh vực tôi đã làm trước đó.
Nhưng tôi đã tự tính giá thành trên mỗi từ trong những bài báo mà chúng tôi công bố và tự hỏi
không biết những người chịu thuế có thật sự hiểu được giá trị đồng tiền của họ ở mức 5 đô la/ từ
hay không. Đúng ngay khi tôi bắt đầu lo ngại về những người chịu thuế thì nhóm mà tôi đang
làm việc trong đó, vì nhiều lí do khác nhau, bị tan rã. Vì thế tôi quyết định tìm một việc gì đó có
khuynh hướng thực hành hơn một chút, và đồng thời xem xét khả năng liệu tôi có thể trở lại
California hay không.
Phòng thí nghiệm Lawrence Livermore phỏng vấn tôi và cho tôi một chỗ làm, nhưng tôi
quyết định tôi không muốn tham gia vào bóng ma bom hạt nhân đang bùng phát, cho nên tôi tháo
lui. Rồi một buổi tối tôi nhận được điện thoại từ Bill Shockley, người biết tên tôi từ danh sách
những người không chịu làm việc cho Lawrence Livermore. Lúc ấy, Shockley là một cái tên có
địa vị ở Caltech. Sau khi lấy bằng cử nhân khoa học ở đó năm 1932, ông đã đi đến phát minh ra
transistor. Ông làm việc tại Phòng thí nghiệm Bell, và lúc ấy ông muốn thành lập một công ti bán
dẫn ở miền Viễn Tây (có rất nhiều giao thiệp Caltech ở đấy – hoạt động được hỗ trợ tài chính bởi
Arnold Beckman) với ý tưởng sản suất transistor silicon rẻ tiền. Shockley biết rằng một nhà hóa
học là hữu ích trong kinh doanh bán dẫn; nên họ có các nhà hóa học tại Bell Labs, nơi họ làm
148

Những bài báo vật lí hay – Tập 1 | © hiepkhachquay

những công việc có ích. Và tôi là một nhà hóa học, nên Shockley tìm đến tôi. Vẫn không phải là
một doanh nhân, tôi quyết định tham gia hoạt động này.

Nhân viên của William Shockley uống rượu mừng vào cái ngày năm 1956 khi ông được trao giải Nobel vật lí cho
phát minh ra transistor. Tám người có mặt trong hình đã đi con đường riêng của vào năm sau đó, và thành lập tập
đoàn Fairchild Semiconductor.
Tôi là một nhân viên dưới 18 tuổi. Đây là hoạt động khởi nghiệp. Tất cả chúng tôi trừ
Shockey ra đều là những nhà khoa học trẻ, ở độ tuổi 20 của mình. Tôi không có kinh nghiệm

quản lí hay đào tạo. Thật không may, Shockley cũng không nốt. Ông đã từng điều hành một
nhóm nghiên cứu tại Phòng thí nghiệm Bell, nhưng đây là một nhóm sản suất hơn là một nhóm
nghiên cứu, và ông không có kinh nghiệm thực tiễn nào trong điều hành công ti. Tôi cho rằng có
lẽ tôi sẽ không nghi ngờ gì khi mà không có ai trong số những người làm việc với ông tại Bell
Labs tham gia dự án mới của ông, nhưng lúc ấy thậm chí tôi không hề bắt đầu nghĩ về điều đó.
Shockley thật kì lạ nhìn từ quan điểm trực giác vật lí của ông. Một trong các đồng nghiệp
của tôi khẳng định rằng Shockley có khả năng nhìn thấy electron. Ông có trực giác ghê gớm đối
với cái đang diễn ra, ví dụ, về silicon, nhưng có những ý tưởng lập dị trong việc đốc thúc mọi
người. Chẳng hạn, công ti có một số cái chúng tôi gọi là dây chuyền sản suất tiến sĩ. Một ngày
nọ, ông bảo nhóm chúng tôi: “Tôi không chắc chắn là các bạn thích hợp với loại hình kinh doanh
này. Nhưng chúng ta sắp tìm ra. Chúng ta đang tiến tới đó và thiết lập một dây chuyền sản suất
và điều hành nó. Các bạn biết, đó là làm điều hành, chứ không phải chỉ đạo nó”. Việc này không
được xem xét tốt lắm, vì nhóm đã nghiêm túc cố gắng điều hành một dây chuyền sản suất ra một
sản phẩm hãy còn ở giai đoạn đầu của sự phát triển.
Ông cũng thiết lập một dự án bí mật. Không ai trong chúng tôi biết nó là cái gì, mặc dù
Shockley thật sự có cho chúng tôi biết nó có tiềm năng quan trọng như phát minh ra transistor.
Trong một nhóm doanh nhân nhỏ như thế, có người trong cuộc và người ngoài cuộc gây ra một
số bất đồng, thứ khiến khó giữ cho mọi người làm việc chung với nhau thành một đội. Một minh
họa khác cho kĩ năng thúc đẩy của ông, một hôm Shockley hỏi nhóm chúng tôi rằng chúng tôi
thích làm gì để cho công việc hào hứng hơn. Có phải chúng tôi thích công bố một số bài báo ?
Chúng tôi nói “Vâng”, nên là một cách làm thỏa mãn nhu cầu này, ông đi về nhà đêm đó và đưa
ra lí thuyết của một hiệu ứng trong chất bán dẫn. Ông quay trở lại vào ngày hôm sau và nói “Đây.
Hãy trích nó ra và ghi tên các anh vào và công bố nó”. Cuối cùng, sự bắt đầu kết thúc, trong
© hiepkhachquay | 149

chừng mực tinh thần như đã nói, xảy ra khi chúng tôi có một vấn đề nhỏ nhặt trong công ti và
Shockley quyết định toàn bộ nhân viên phải qua phép kiểm tra nói dối để tìm ra người chịu trách
nhiệm cho nó.
Sau cùng, ông chuyển từ ý tưởng ban đầu của ông chế tạo transistor silicon rẻ tiền sang
chế tạo một dụng cụ khá mơ hồ là diode bốn lớp. Chúng tôi nhìn việc này với sự lo âu lớn, vì

một số trong chúng tôi không hiểu chính xác diode bốn lớp thích hợp dùng ở đâu. Một ngày, khi
Arnold Beckman đến trao đổi với nhóm, Shockley đã đưa ra một số nhận xét đóng, xác nhận
rằng ông có thể tống khứ nhân viên của ông đi chỗ khác nếu như Beckman không hài lòng về cái
đang diễn ra ở đó. Cho nên, trước toàn bộ những vấn đề này, chúng tôi quyết định chúng tôi phải
bỏ Shockley để giải quyết chúng. Một nhóm chúng tôi đến tiếp xúc với Beckman và ngồi với
ông trong một loạt bữa tối nhằm cố gắng kết thúc vai trò cho Shockley, trong đó ông có thể
mang lại cho chúng tôi tầm nhìn công nghệ sâu sắc của ông, nhưng không ổn chút nào cách xử
thế của ông. Chúng tôi nghĩ tới một chức giáo sư tại Stanford. Vào lúc đó, ông ta giành giải
Nobel, và những người giành giải Nobel có thể nhận chức giáo sư hầu như ở mọi nơi.
Cái chúng tôi không đánh giá đúng là thật hết sức khó khăn việc đẩy một người đoạt giải
Nobel ra rìa. Beckman quyết định (là kết quả của lời khuyên mà ông nhận được từ mọi nơi) rằng
ông thật sự không thể làm điều này với Shockley. Chúng tôi bảo về cơ bản thì Shockley là đứng
đầu, nhưng nếu chúng tôi không thích, chúng tôi có thể tìm việc ở nơi khác. Chúng tôi cảm thấy
chúng tôi đã đốt hỏng con đường của mình quá tệ vào lúc ấy, nên rõ ràng là chúng tôi phải ra đi,
và chúng tôi bắt đầu tìm một lựa chọn khác. (Công ti của Shockley vẫn duy trì trong vài năm, do
đòi hỏi từ phía tập đoàn Clevite, và cuối cùng thì giải thể)
Thành lập Tập đoàn Fairchild
Và đây là nơi cuối cùng tôi trở thành một nhà doanh nghiệp. Một người trong nhóm
chúng tôi có bạn tại Hayden Stone, một nhà băng đầu tư New York. Ông viết cho bạn một lá thư
nói rằng có một nhóm tám người chúng tôi ở đây thật sự thích làm việc chung với nhau, nhưng
chúng tôi phải rời khỏi chỗ làm hiện tại của mình, và ông thật sự nghĩ rằng một số công ti sẽ
thích thuê toàn bộ chúng tôi. Ông chủ nhà băng nói “Chờ một chút”, và gửi một trong các cộng
sự, Bud Coyle, và một Harvard MBA trẻ tên là Arthur Rock từ New York đến thăm chúng tôi.
Họ trao đổi với chúng tôi và nói: “Các anh không muốn tìm một công ti thuê các anh; các anh
muốn thành lập một công ti riêng của mình”. Điều đó nghe không tệ. Làm như thế chúng tôi có
thể ở lại nơi mình đang sinh sống. Khi ấy, chúng tôi đều đã mua nhà, và chúng tôi không muốn
dời đi. Điều đó dường như dễ hơn nhiều, nên chúng tôi nói: “Vâng; tốt; cứ thế mà làm”, và họ
nói họ sẽ tìm sự ủng hộ cho chúng tôi.
Vì thế, chúng tôi đến làm việc với The Wall Street Journal, và xem qua danh sách trao
đổi chứng khoán New York, từng công ti một, để tìm xem công ti nào chúng tôi thấy là hấp dẫn

trong việc ủng hộ một dự án bán dẫn. Chúng tôi tìm được chừng 30 công ti, và Arthur và Bud đi
giao thiệp và tiếp xúc với từng công ti trong số đó. Họ đều bác bỏ mà không thèm nói chuyện với
chúng tôi. Rồi, khá bất ngờ, Arthur và Bud tình cờ gặp Sherman Fairchild, người có vẻ là một kẻ
150

Những bài báo vật lí hay – Tập 1 | © hiepkhachquay

ái mộ công nghệ; ông thật sự yêu thích công nghệ mới. Ông giới thiệu chúng với chủ tịch
Fairchild Camera and Instrument, người sẽ thử vận may ở việc ủng hộ cho công ti mới này.

Tám thành viên Fairchild tại Fairchild Semiconductor năm 1959. Từ trái sang: Gordon Moore, Sheldon Roberts,
Eugene Kleiner, Bob Noyce, Victor Grinich, Julius Blank, Jean Hoerni, và Jay Last
Mỗi người trong tám người chúng tôi đầu tư 500 đô la trong sự khởi nghiệp này. Con số
đó bây giờ nghe không có vẻ gì nhiều, nhưng nó là lương một tháng vào năm 1957. Fairchild chi
ra chừng 1,3 triệu đôla cho chúng tôi, và chúng tôi khởi lập tập đoàn Fairchild Semiconductor.
Chúng tôi vẫn không phải thật sự là nhà doanh nghiệp, nhưng chúng tôi đã học được một số thứ
khi làm việc. Chúng tôi học từ kinh nghiệm Shockley rằng không ai trong chúng tôi biết cách
điều hành công ti, nên việc đầu tiên chúng tôi phải làm là thuê một ông chủ riêng cho chúng tôi –
về cơ bản là thuê người nào đó điều hành công ti. Chúng tôi thông báo tìm một tổng giám đốc.
Bây giờ, nếu như bạn thông báo tìm một tổng giám đốc cho công việc như thế này, cái bạn tìm
được là mỗi người bán hàng ở đất nước này đều bị thuyết phục rằng anh ta có khả năng điều
hành công ti. Nhưng chôn vùi trong số tất cả các phản ứng từ những người bán hàng là phản ứng
từ Ed Baldwin, giám đốc kĩ thuật cho công ti kinh doanh chất bán dẫn Hughes. Vào giữa thập
niên 1950, Hughes đang sản suất diode và là một trong những công ti bán dẫn lớn nhất trên thế
giới.
Baldwin đến và nói với chúng tôi rất nhiều thứ chúng tôi chẳng biết gì, nên chúng tôi
quyết định anh ta là người thích hợp để điều hành công ti cho chúng tôi. Chúng tôi thuê anh ta,
và anh ta dạy chúng tôi nhiều thứ mà trước đó chúng tôi chẳng biết – vì đa số chúng tôi chưa
từng làm việc cho một công ti sản suất thành công. Anh ta dạy chúng tôi rằng các bộ phận khác
nhau của tổ chức phải được thành lập với những trách nhiệm khác nhau; ví dụ, bạn phải thành

lập công ti sản suất kinh doanh tách rời với phòng thí nghiệm phát triển. Bạn phải thiết kế và
định rõ quy trình sản suất, những thứ hoạt động hoàn toàn khác với trong phòng thí nghiệm. Ông
ta còn dạy chúng tôi rằng chúng tôi phải thuê một giám đốc marketing, và chúng tôi đã làm thế.
Và mọi thứ hoạt động trôi chảy: sự phát triển và thiết kế tiền sản suất cho quy trình của chúng tôi
© hiepkhachquay | 151

và những sản phẩm đầu tiên hoàn thành; chúng tôi có một cuốn sách định quy trình kĩ thuật dày
ghi lại tất cả các phương pháp một cách chi tiết; và chúng tôi có những vị khách hàng ưa chuộng.
Rồi một ngày chúng tôi đến làm việc và phát hiện thấy Baldwin, cùng với nhóm người mà anh ta
đề nghị chúng tôi thuê, đang chuẩn bị tách ra thành lập một công ti bán dẫn cạnh tranh. Đây là
công ti spin-off đầu tiên của Thung lũng Silicon mà chúng tôi chịu.
Điều hành công ti
Chúng tôi chưa hề hiểu kha khá điều này. Baldwin có sự tham gia hợp pháp tiềm năng
như chúng tôi; nhưng anh ta chưa hề đầu tư 500 đô la nên anh ta không hề có cổ phần. Anh ta
không xem Fairchild Semiconductor là công ti của mình, và vì anh ta muốn có công ti riêng của
mình, nên anh ta bỏ chúng tôi. (Anh ta và nhóm của mình cũng ra đi cùng với “các phương
pháp”; sau cùng thì họ phải gửi trả bản sao cuốn sách chuyên môn đó cho chúng tôi) Sau cú sốc
ban đầu và sự phản bội, chúng tôi ngồi lại và bàn xem chúng tôi phải làm gì. Chúng tôi có nên
tiếp tục đi thuê một người khác vào điều hành công ti hay không ? Chúng tôi quyết định thay vì
thuê người, chúng tôi sẽ thử tự điều hành với từng người một trong số chúng tôi. Vì thế Bob
Noyce, là người duy nhất trong số chúng tôi có nhiều kinh nghiệm công nghiệp bán dẫn nhất, trở
thành tổng giám đốc. Tôi tiến lên đảm nhận vị trí trước đó của ông là giám đốc nghiên cứu và
phát triển.
Ngoài những thứ Baldwin đã mang đi, chúng tôi có những ý tưởng khác xuất hiện vào lúc
ấy. Một trong số chúng là transistor phẳng, chế tạo bởi Jean Hoerni, một nghiên cứu sinh tiến sĩ
Caltech mà Shockley từng tuyển dụng. Thật ra, tôi đã cất công đến nơi Shockley ở Pasadena mời
tuyển anh ta. Jean là một nhà lí thuyết, và vì thế không có ích lắm vào thời điểm chúng tôi thành
lập xưởng ban đầu tại Fairchild, xây dựng lò nung và mọi thứ đồ đạc. Ông chỉ ngồi trong văn
phòng của mình, viết vội viết vàng các thứ lên một mảnh giấy, và ông đi tới ý tưởng này là chế
tạo một transistor có lớp ôxit silicon còn lại phía trên các tiếp giáp. Nơi tiếp giáp silicon tiếp đến

bề mặt của silicon là một khu vực rất nhạy cảm mà chúng ta thường bốc trần hết sức khó giữ cho
sạch. Hoerni nói “Tại sao không để ôxit trên đó ?” Sự từng trải từ Phòng thí nghiệm Bell vào lúc
ấy hẳn bạn đã biết, ôxit thật quá nhiễm bẩn nên bạn muốn loại trừ nó ra. Không ai từng thử để
ôxit ở trên đó. Chúng tôi không thể nào thử nó, vì nó yêu cầu chế tạo bốn bậc mặt nạ, mỗi lớp
nối với lớp kế tiếp với độ chính xác rất cao – một công nghệ lúc đó không có. Transistor của
chúng tôi có hai bậc mặt nạ, và đó là một hoạt động phát triển khá đáng kể.
Vì thế, chúng tôi thậm chí không thể thử ý tưởng của Jean trong chừng một năm rưỡi sau
khi chúng tôi đi vào kinh doanh. Khi chúng tôi cuối cùng đi tới thử nó, hóa ra nó là một ý tưởng
lớn; nó giải quyết được mọi vấn đề bề mặt trước đó. Rồi chúng tôi tự hỏi liệu chúng tôi có thể
làm gì khác nữa với công nghệ phẳng này. Bob Noyce đi tới hai phát minh chủ chốt chế tạo một
mạch tích hợp thực tế: bằng cách tạo thêm một lớp ôxit, người ta có thể chạy các mối nối như
màng kim loại trên dụng cụ của nó; và người ta còn có thể đặt những cấu trúc bên trong silicon
tách rời transistor này với transistor khác.
152

Những bài báo vật lí hay – Tập 1 | © hiepkhachquay

Noyce và Kilby, người lúc ấy tại Texas Instruments, thường được xem là những người
đồng phát minh ra mạch tích hợp. Thật ra, họ làm những thứ khác nhau hoàn toàn. Kilby xây
dựng một mô hình phòng thí nghiệm – một mạch điện nhỏ với transistor và điện trở - bằng cách
khắc những cấu trúc bán dẫn dài, mỏng, toàn bộ nối với nhau qua các dây nhỏ xíu. Nó thật ra
không phải là một quy trình sản suất thực tiễn. Cái Bob làm là lấy ý tưởng mạch tích hợp – công
nghệ phẳng này – và đi tới phương pháp chế tạo một dụng cụ thực tế.
Xoay chuyển hòn đá tảng đầu tiên
Hóa ra thế giới thật sự mong muốn một số trong những dụng cụ mới này, chúng đưa đến
một số thách thức quản lí. Chúng tôi không hề có ý tưởng nào về độ lớn của cơ hội mà chúng tôi
đang tiến tới. Chúng tôi vẫn là một lũ bù nhìn trong phòng thí nghiệm, đúng là có phần làm kinh
ngạc những người thật sự muốn mua sản phẩm của chúng tôi. Chúng tôi không nghĩ tới việc mở
rộng, nhưng ở đây một lần nữa nhà lí thuyết của chúng tôi, Jean Hoerni, lại sớm có đóng góp
bằng cách thiết kế cách sắp đặt máy móc của chúng tôi, cho phép cái mà chúng tôi cho là sự mở

rộng hiệu quả - thêm một lò nung ở chỗ này, thêm các xilanh nitơ ở chỗ kia. Nhưng chúng tôi có
ít khái niệm về tác động của khám phá của chúng tôi. Tại đây, chúng tôi đã phát triển và chế tạo
mạch tích hợp đầu tiên, dòng đầu tiên của mạch logic – những dụng cụ rất đơn giản với các cổng
và flip flop – và đưa chúng vào sản suất. Tôi còn nhớ việc gọi những người thâm niên trong
phòng thí nghiệm lại và nói “OK, chúng ta đã làm được mạch tích hợp. Tiếp theo, chúng ta sẽ
làm gì ?” Và chúng tôi bắt đầu tìm kiếm mọi hiệu ứng vật lí khác lạ mà chúng tôi có thể tìm để
xem chúng ta có thể phát minh ra những dụng cụ mới nào. Chúng tôi không hề có ý tưởng rằng
chúng tôi đang vần hòn đá tảng trên thứ sau này là nền kinh doanh 80 tỉ đô la.
Kết quả của sự thiếu hiểu biết của chúng tôi là chúng tôi đã gửi lợi nhuận của chúng tôi
trở lại công ti mẹ ở Viễn Đông thay vì yêu cầu tái đầu tư chúng để mở rộng Fairchild
Semiconductor nhanh hơn. Bấy giờ không rõ là chúng tôi có thể mở rộng thêm nhiều không cho
dù chúng tôi đã cố gắng vì có những hạn chế lớn ở đội ngũ quản lí mà chúng tôi có. Chúng tôi
vẫn là vừa làm vừa học hỏi. Chúng tôi đang khai thác một mạch công nghệ cực kì phong phú,
nhưng công ti khai thác quả nhỏ để làm chủ cái đang diễn ra. Kết quả chung là cái mà tôi gọi là
“hiệu ứng Thung lũng Silicon”: mỗi ý tưởng mới đi đến việc ra đời ít nhất là một công ti mới.
Đúng là có hàng tá công ti đã ra đời từ trải nghiệm Fairchild Không chỉ thực hiện công nghệ đã
ra đi khỏi nó, Fairchild còn đóng vai trò là một mẫu doanh nghiệp thành công và đầy khích lệ -
hội chứng người khác làm được thì tôi cũng làm được.
Trong khi chúng tôi đang tập tễnh cách làm ăn, thì Fairchild lớn mạnh lên khoảng 150
triệu đô la tiền vốn và 30.000 nhân công vào cuối những năm 1960. Nó là một tập đoàn khá lớn
vào lúc chúng ta làm việc. Nhưng các thứ bắt đầu tệ đi – một phần, tôi nghĩ, là do nó bị chi phối
bởi công ti Viễn Đông. Cái đuôi ở miền Viễn Tây không lúc lắc hiệu quả lắm đối với chú cẩu
miền Viễn Đông. Fairchild bắt đầu phát sinh một số vấn đề quản lí. Thật vậy, ban lãnh đạo đã sa
thải hai nhân viên quản trị chủ chốt trong vòng 6 tháng, và đang điều hành công ti với ủy ban ba
người như ban giám đốc. Rõ ràng hướng phát triển của công ti đang thay đổi. Khi Bob Noyce
© hiepkhachquay | 153

(người là ứng cử viên nội bộ hợp lí để trở thành nhà quản trị chủ chốt của công ti mẹ, Fairchild
Camera) nhận thấy ông sẽ bị người ta phớt lờ, ông đã quyết định ra đi. Tôi cảm thấy ban quản trị
mới sẽ có khả năng làm biến đổi bản chất của công ti nhiều lắm. Tôi quyết định ra đi trước khi

có những thay đổi này, còn hơn là chờ đến khi muộn màng. Vì thế, hai trong số chúng tôi bắt đầu
lên đường làm công việc khác. Chúng tôi thật sự đã đi một quãng đường dài tại Fairchild. Chúng
tôi cũng đã có một số sai lầm kinh khủng, và chúng tôi đã lãng phí nhiều cơ hội trong con đường
thăng tiến của mình. Việc vừa học vừa làm thật lí thú, nhưng có khả năng còn có một cách hiệu
quả hơn đào tạo doanh nhân mà không đưa họ đến phạm mọi sai lầm. May thay, sản phẩm tốt tạo
nên rất nhiều vấn đề trong tổ chức, và tôi nghĩ đó là cái xảy ra trong trường hợp của chúng tôi.

Moore (bên trái) và Noyce tại Intel vào đầu những năm 1970.
Khởi dựng một công ti không phải luôn dễ dàng
Khi Bob và tôi bắt đầu tìm kiếm cơ hội kinh doanh, chúng ta nhận ra một điều mà chúng
ta nghĩ sẽ thu hẹp sự thuận lợi của các công ti đã thành lập như Texas Instruments, Fairchild và
các công ti khác. Cơ hội này là cái sẽ làm thay đổi tác dụng đòn bẩy. Nền công nghiệp bán dẫn
khi đó đã đi đến điểm có những nhà máy lắp ráp lớn, giá thành thấp ở Đông Nam Á là nơi có sức
cạnh tranh rất mạnh. Nhưng công nghệ có khả năng chế tạo chip thì phức tạp hơn cái chúng tôi
có khả năng vạch ra. Nếu bạn nhận ra một chức năng mạch phức tạp, nó có khuynh hướng là độc
nhất; nó có thể chỉ dùng một lần trong mỗi máy tính, nên thể tích đơn vị không cho phép bạn trừ
dần giá thành thiết kế. Kết quả chung là những mạch tương đối đơn giản vẫn được chế tạo, ngay
cả khi công nghệ tiếp tục tiến triển. Chúng tôi nghĩ chúng tôi nhìn thấy trong bộ nhớ bán dẫn một
cơ hội chế tạo sản phẩm có độ phức tạp gần như độc quyền có thể dùng trong mọi hệ thống số,
và sẽ làm thay đổi tác dụng đòn bẩy từ nền lắp ráp giá thành thấp trở lại sự tinh vi trong xử lí
silicon. Chúng tôi bắt đầu việc kinh doanh của mình dựa trên ý tưởng này.
Bấy giờ là lúc quỹ tư bản mạo hiểm đang ở đỉnh cao. Bob Noyce gọi cho Arthur Rock và
nói “Xin chào. Chúng tôi muốn thành lập một công ti mới. Ông sẽ giúp chúng tôi về tài chính
chứ ?” Arthur nói chắc chắn, và đó là lời cam kết tài trợ tài chính đầu tiên của chúng tôi. Chúng
tôi viết một tờ kế hoạch kinh doanh đơn giản. Nó rất chung chung. Nó nói chúng tôi đang làm
việc với silicon; chúng tôi sắp tiến hành cho khuếch tán và những quá trình tương tự khác và chế
tạo các sản phẩm hấp dẫn.
154

Những bài báo vật lí hay – Tập 1 | © hiepkhachquay


Intel ra đời
Sau đó, chúng tôi bắt đầu xem xét các công nghệ thích hợp cho việc kinh doanh mà
chúng tôi đang đảm nhận. Nhớ lại, tôi gọi nó là chiến lược công nghệ “Goldilocks”của chúng tôi.
Chúng tôi theo đuổi ba hướng khác nhau. Một là một loại transistor ba cực nhất định, gọi là tam
cực Schottky, nó khác với cái đang được sử dụng khi đó. Hóa ra công nghệ đó triển khai rất tuyệt
vời, tốt hơn cả mong đợi. Thật vậy, nó hoạt động quá tốt, nên các đối thủ cạnh tranh có thể cop
nó nhanh chóng. Đó là thứ công nghệ quá dễ dàng. Chúng tôi chọn một công nghệ khác cho việc
lắp ráp nhiều chip nhớ trên một gói – lật chúng lên và tiến hành một kiểu lắp ráp tiên tiến. Chúng
tôi vẫn tiếp tục nghiên cứu công nghệ đó, mãi 25 năm sau này. Công nghệ đó quá khó. May thay,
chúng tôi còn chọn một công nghệ thứ ba – một mẫu mới của công nghệ MOS (bán dẫn ôxit kim
loại) gọi là MOS cổng silicon. Ở đây, điện cực “cổng” của transistor trước đó được chế tạo từ
kim loại (thường là nhôm) – chữ M trong MOS – được thay thế bằng màng silicon có một vài
tiện lợi quan trọng cho tốc độ chuyển mạch dụng cụ và mật độ đóng gói trên bề mặt bánh xốp
silicon. Và đó là hướng đi đúng. Bằng cách tập trung lên một loại công nghệ này và hướng sự
chú ý của chúng tôi lên hai vấn đề khó đi kèm với nó, chúng tôi đã có thể giải quyết vấn đề và
xúc tiến với nó. Nhưng các công ti đã thành lập đang nhắm tới công việc kinh doanh chính của
họ và tiến hành phát triển quy trình mới ở khía cạnh khác, không có thời gian tập trung vào giải
quyết vấn đề đó và mất mấy năm mới xúc tiến với nó. Ước tính ban đầu của chúng tôi là chúng
tôi có năm năm để phát triển đủ lớn nhằm ngăn cản các công ti hiện có đưa chúng ta ra khỏi thị
trường kinh doanh. Thật vậy, chúng tôi có bảy năm trước khi các công ti lớn đi vào công nghệ
của chúng tôi. May thay, thật hết sức may mắn, chúng tôi đã đi đến một công nghệ có mức độ
khó vừa phù hợp cho một sự khởi đầu thành công. Intel đã bắt đầu như thế đấy.

Chip 4004 của Intel, chứa 2200 transistor, là bộ vi xử lí 4 bit và có bộ nhớ 9,2 K (trên một chip khác). Mặc dù chip
máy tính này đã bắt đầu cuộc cách mạng trong lĩnh vực máy tính cá nhân, nhưng công ti đã bỏ mất cơ hội đi vào
kinh doanh PC.
Tại Intel, chúng tôi quyết định không để phạm sai lầm như trước đây tại Fairchild. Chẳng
hạn, tại Fairchild, chúng tôi sử dụng các nhà phân phối công nghiệp bán một phần lớn sản phẩm
của chúng tôi – chúng tôi bán chúng cho nhà phân phối; nhà phân phối bán chúng cho người

dùng cuối. Chúng tôi ghi bán khi sản phẩm gửi cho nhà phân phối. Nhưng trong lĩnh vực kinh
doanh của chúng tôi, giá cả chỉ hạ xuống. Câu hỏi duy nhất là chúng giảm 20% một năm hay 80%
một năm. Ví dụ, một lần tại Fairchild, khi nhà phân phối của chúng tôi đã cho vào kho các sản
phẩm của chúng tôi, thì Motorola đưa ra một dụng cụ cạnh tranh ở mức giá thấp hơn đáng kể. Để
phù hợp với giá của họ, chúng tôi phải đảo ngược giá bán và giáng một đòn mạnh lên bản kê lời-
lỗ của chúng tôi, cái chúng tôi nghĩ chúng tôi có thể có đủ sức. Cho nên chúng tôi ngồi đó và
nhìn thị trường của chúng tôi san sẻ tồi tệ trong khi bản kiểm kê đó được đưa ra. Chúng tôi quyết
© hiepkhachquay | 155

định chúng tôi sẽ không để cho điều này diễn ra tại Intel. Chúng tôi không ghi bán khi chúng tôi
bán sản phẩm cho nhà phân phối của chúng tôi, mà chỉ ghi khi nó được lấy khỏi kệ trưng bày của
anh ta tới tay người dùng cuối. Đây là một chút “công nghệ” chúng tôi phải bán cho công ti
thanh toán của chúng tôi, vì nó đã được thực hiện trước đây. Nhưng hóa ra nó giải bài toán đặc
biệt đó rất tốt. Bây giờ nó là một thực tiễn công nghiệp chuẩn.
Từ quan điểm riêng của mình, tôi đã trưởng thành từ việc điều hành rất nản lòng một
phòng thí nghiệm tại Fairchild. Khi nhóm sản suất trưởng thành hơn về mặt thành thạo công
nghệ, họ ít sẵn lòng lắng nghe mọi người trong phòng thí nghiệm như những chuyên gia. Cho
nên khi chúng tôi đi tới ý tưởng có phần mới trong phòng thí nghiệm – ví dụ, dụng cụ MOS bền
– chúng ta rất khó khăn trong việc chuyển đổi kiến thức chi tiết sang sản suất. Chúng tôi làm
việc hiệu quả hơn ở việc chuyển đổi công nghệ mới sang các công ti spin-off mà chúng tôi là
thành viên trong đó. Để tránh vấn đề đó tại Intel, và để tăng tối đa hiệu quả chuyển đổi từ phát
triển sang sản suất, chúng tôi quyết định không thành lập một phòng thí nghiệm độc lập. Chúng
tôi thiết lập nhiều loại cơ chế và tổ chức đa dạng trên con đường đưa sự chuyển đổi phát triển
sang sản suất càng hiệu quả càng tốt, cho dù là thua lỗ trong lĩnh vực sản suất hay trong quá trình
phát triển. Điều này làm giảm lợi tức, vì chúng tôi thiết kế sự phát triển của chúng tôi chuyên
dụng để chuyển đổi vào sản suất; nên chúng tôi không gặp phải vấn đề phát triển công nghệ và ý
tưởng mà chúng tôi không có nơi áp dụng. Chuyển đổi công nghệ luôn là bài toán khó. Chúng tôi
đã cố gắng giảm tối thiểu nhu cầu chuyển đổi nó.
Từ khi khởi dựng Intel, chúng tôi đã có kế hoạch lớn. Vì chúng tôi đã từng khá thành
công tại Fairchild, nên bất kì thứ gì kém thành công hơn trong dự án mới của chúng tôi cũng sẽ

là một sự thất vọng. Vì thế, ngay từ khi bắt đầu, chúng tôi đã tuyển một đội ngũ có tiềm năng cao
và chúng tôi nghĩ họ sẽ điều hành công ti trong một khoảng thời gian thôi. Đây là cơ hội mà
nhiều doanh nghiệp mới bỏ lỡ. Không có cơ hội nào đào tạo nhà quản lí tốt hơn là một doanh
nghiệp mới khởi dựng, ở đó họ có cơ hội nhìn thấy toàn bộ công ti khi nó trưởng thành. Nó bắt
đầu thật nhỏ và đơn giản; người ta có thể thấy mọi hoạt động khi nó lớn dần lên. Tôi nghĩ những
người nhìn công ti khởi nghiệp, nhất là các nhà tư bản mạo hiểm, phải thúc đẩy rất mạnh chứ
không nên phí phạm phát triển quản lí trong khoảng thời gian đó.
Chúng tôi cũng cố gắng tinh giảm công việc hành chính. Khi chúng tôi khởi dựng Intel,
chẳng hạn, mỗi người trong số chúng tôi đảm nhận một lĩnh vực công nghệ của riêng mình. Và
thay vì thu nhận các yêu cầu, chúng tôi cho kĩ sư của mình thu các mẫu đặt hàng, nên họ có thể
làm việc trực tiếp với nhà cung cấp thiết bị và trao cho người bán hàng một đơn đặt hàng. Điều
này làm sốc một số nhà đại lí, nhưng nó rất hiệu quả, không có đường nào cho sự quan liêu phát
triển. Thật đáng tiếc, ngày nay chúng ta không thể làm điều đó nữa, nhưng vào lúc ấy, khi chúng
tôi là một công ti khá nhỏ, nó hoạt động rất tốt.
Một thứ nữa chúng tôi học được trên con đường khởi nghiệp của mình là tăng thêm tiền
trước khi chúng tôi cần đến nó. Một thứ bạn có thể khám phá ra sau một chút kinh nghiệm làm
nhà kinh doanh là ngân hàng sẽ cho bạn vay tiền khi mà bạn không cần đến nó. Bạn có thể bán
hàng hóa khi mà bạn chưa thật sự có. Với lời khuyên tốt từ các vị giám đốc như Arthur Rock,
156

Những bài báo vật lí hay – Tập 1 | © hiepkhachquay

chúng tôi luôn luôn có nhiều vốn trong tay, cho nên chúng tôi không bị trở ngại khả năng phát
triển thêm.
Tại Fairchild, chúng tôi không hề có ý tưởng rằng chúng tôi cần một sự tổ chức – chúng
tôi phải thành lập một bộ phận sản suất và một bộ phận kĩ thuật cùng một lực lượng bán hàng.
Tất cả những thứ này nghe thật hợp lí, nhưng cần có thời gian mới nghiệm ra được. Và một trong
những yếu tố quan trọng nhất trong tổ chức doanh nghiệp là quản trị con người. Có nhiều thứ mà
tôi đã học được rất muộn màng trong cuộc đời mình về quản trị con người, và giá như tôi có thể
trở lại với những ngày đầu của Intel, người sẽ làm nhiều thứ khác đi. Chẳng hạn, tôi sẽ đi đến

đánh giá đúng giá trị của những cuộc gặp thường lệ mặt đối mặt với các nhân viên cấp dưới,
trong đó cấp dưới sẽ điều khiển chương trình họp. Những buổi họp như thế rất hiệu quả trong
việc truyền đạt thông tin từ cả hai phía.
Top 10 công ti
Tôi cho rằng tôi không thể kết thúc bài viết mà không khoe khoang chút xíu về Intel.
Chúng tôi vừa mới hoàn thành năm thứ 25 của mình; vốn của chúng tôi là 8,8 tỉ đô la, và lợi
nhuận của chúng tôi trên 2 tỉ. Con số đó đưa chúng tôi ít nhất là trong top 20 và có lẽ ở top 10
công ti có lợi nhuận cao nhất của thế giới. Chúng tôi tăng dần sự dẫn đầu của mình với tư cách là
nhà sản suất chất bán dẫn lớn nhất và có hơn 30.000 nhân công trên khắp thế giới.
Nhưng có một số thứ tôi không tự hào lắm đã đến cùng với nó. Ví dụ, năm 1984, chúng
tôi đã đạt đỉnh 26.000 nhân công; năm 1986, chúng tôi giảm xuống dưới 16.000. Sa thải 8000
công nhân không phải là chuyện hài lòng lắm, và nó là thứ tôi nghĩ có thể tránh được nếu như
nhà quản trị Intel thận trọng và sâu sắc hơn.
Và tôi có thể ngó lại vài cơ hội đã bỏ lỡ. Có khi chúng tôi bỏ lỡ do đối phương vắng mặt.
Tôi còn nhớ nói chuyện với nhà tư bản mạo hiểm Bill Davidow, khi ông làm việc cho Intel, về
một trạm kĩ thuật. Intel bán một số thứ chúng tôi gọi là “hệ thống phát triển”, đó là máy tính
chuyên dụng dành cho kĩ sư. Chúng tôi tưởng tượng người kĩ sư tương lai sẽ có chiếc máy tính lẻ
trên bàn làm việc của anh ta, và chúng tôi nói về cái nó phải là như thế. Nhưng dù là chúng tôi
nói về nó, chúng tôi lại quá bận rộn đến mức đáng nguyền rủa với những thứ khác, và chúng tôi
chưa bao giờ đi vào phát triển theo xu hướng này. Vì thế chúng tôi đã hoàn toàn bỏ lỡ cơ hội. Tôi
nghĩ tôi cũng có thể xem máy tính cá nhân là một cơ hội mà chúng tôi đã bỏ mất. Trước Apple
lâu lắm, một trong các kĩ sư của chúng tôi đã đến gặp tôi với đề xuất rằng Intel phải chế tạo máy
tính dùng trong gia đình. Và tôi hỏi anh ta “Người ta muốn có thứ đồ chết tiệt gì ở chiếc máy tính
dùng trong nhà chứ ?” (Thỉnh thoảng tôi vẫn tự hỏi, mặc dù đã có một vài máy tính) Thí dụ duy
nhất mà anh ta có thể nghĩ tới là thứ gì đó cho bà nội trợ đưa các công thức món ăn của bà ta vào.
Tôi có thể tưởng tượng Betty tại bếp nấu ăn, vất vả tại chiếc máy tính của cô ta để đọc công thức.
Nó dường như thật lố bịch! Vâng, có lẽ sau hết chúng tôi đã không bỏ lỡ cơ hội đó, vì chúng tôi
thật sự thu lợi từ việc kinh doanh PC – không phải sản suất nó, mà tiếp tế cho nó. Và đó có lẽ là
con đường tốt nhất.
© hiepkhachquay | 157



Năm 1969, 106 nhân công của Intel, trước nhà máy ban đầu ở Mountain View, California. Noyce đứng ở phía trước
bên trái và Moore ở bên phải. Công việc vừa triển khai về bộ vi xử lí, phát minh bởi kĩ sư Intel Ted Hoff (đứng ở
bên phải, phía sau Moore). Bộ vi xử lí thương mại đầu tiên của thế giới, 4004 của Intel,
được đưa ra thị trường năm 1971.
Chúng ta bỏ lỡ những cơ hội khác do thủ tục nghèo nàn. Một cơ hội thật sự khiến tôi bực
bội là vào năm 1985 chúng tôi bị gạt khỏi việc kinh doanh bộ nhớ truy cập ngẫu nhiên động
(DRAM), việc kinh doanh mà trong đó chúng tôi đã có những khoản lợi tức lớn đầu tiên của
mình và đã đưa chúng tôi khởi đầu là một công ti thành công. Nhưng chúng tôi bị gạt khỏi một
phần là vì chúng tôi đã không thực hiện một cặp thế hệ sản phẩm rất tốt, và một phần vì người
Nhật gạt chúng tôi ra. Các nền kinh tế khác cho các công ti Nhật Bản cho phép họ điều hành nhà
máy của họ và bán sản phẩm của họ với giá thấp hơn nhiều. Nhưng thứ vẫn làm tôi day dứt là
chúng tôi đã không thể cạnh tranh thành công trong ngành kinh doanh do chúng tôi tạo ra.
Giờ thì tôi không bận tâm việc bỏ lỡ cơ hội vì chúng tôi đã thử và thất bại. Chúng tôi đã
triển khai những bước khá hùng hổ nhưng không phải lúc nào cũng thành công hướng tới sản
suất các sản phẩm máy tính ngay từ những ngày đầu của công ti – chẳng hạn, bộ vi xử lí 432.
432 có khả năng là bộ vi xử lí 32 bit đầu tiên; nó là phần cứng được thiết kế để thi hành phần
mềm hướng đối tượng; phần cứng và phần mềm được thiết kế chung với nhau và có nhiều đặc
điểm tiến bộ. Vào lúc chúng tôi thiết kế hệ thống, công nghệ không sẵn sàng lắm cho sự phức tạp
như thế, và để có toàn bộ chức năng trên con chip, chúng tôi đã phải hi sinh hiệu suất. Nó đi tới
quá chậm nên nó khó thực hiện được việc gì, và chúng tôi phải từ bỏ nó. Nhưng chí ít thì nó
cũng là một sự thử thời vận hăng hái – một cơ hội chúng tôi không thể hướng mục tiêu một cách
chính xác.
Một phát đạn lép nữa là đồng hồ kĩ thuật số. Chúng tôi là công ti đầu tiên kinh doanh
đồng hồ kĩ thuật số, tinh thể lỏng. Chúng tôi hi vọng chiếc đồng hồ là cái dẫn đường đến một sản
phẩm kĩ thuật số di động có thể mở rộng để làm thêm nhiều việc hơn là báo giờ. Các công ti khác
đi vào thị trường đồng hồ điện tử làm cho giá rớt xuống đụng sàn. Cơ hội kinh doanh chúng tôi
nhìn thấy hoàn toàn bị phá sản, nếu như nó từng thật sự tồn tại. Tôi có cái đồng hồ 15 triệu đô la
158


Những bài báo vật lí hay – Tập 1 | © hiepkhachquay

của mình, cùng với bộ nhớ của Microma Watch, một phân nhánh của Intel. Nó báo tôi thức vào
buổi sáng; nó có hệ thống báo hiệu tốt trên nó, và màn hiển thị tinh thể lỏng tồn tại chí ít là 20
năm.
Nhớ lại, có nhiều thứ chúng tôi có thể làm tốt hơn trên đường phát triển, nhưng chúng tôi
thật sự có đủ quyền để phát triển một công ti khá lớn. Thế giới thật sự cũng đang biến đổi trong
khoảng thời gian này. Nền công nghiệp ở đây và ở nước ngoài ưa chuộng các cải tiến về hiệu
suất. Chẳng hạn, tôi đã đề cập trong phần trước là chúng tôi có 26.000 nhân công trong năm
1984. Chúng tôi chỉ vượt qua ngưỡng 26.000 nhân công một lần nữa hồi năm ngoái [2000], và
công ti đã có thu nhập gấp 5 lần khi đó. Sự cạnh tranh ngày nay mạnh mẽ hơn trong quá khứ.
Một công ti khởi nghiệp ngày nay có khả năng không thể đủ điều kiện vừa học vừa làm như
chúng tôi đã làm.
Học bằng cách thử và sai
Có những nhà doanh nghiệp bẩm sinh, đối với họ động cơ kinh doanh chi phối mọi thứ,
và họ có thể làm kinh doanh mọi thứ. Nhưng nhà doanh nghiệp tình cờ giống như tôi đã phải rơi
vào thời cơ hay là bị đẩy vào trong nó. Rồi tinh thần kinh doanh cuối cùng đã bắt kịp. Các thứ
phải nằm đúng chỗ của nó. Tôi không thuộc loại nhà doanh nghiệp có thể nói “Tôi sắp mở công
ti. Hãy tìm kiếm cơ hội”. Trong toàn bộ sự nghiệp của mình, tôi nghĩ tôi chỉ nhìn thấy khoảng
chừng ba ý tưởng mà tôi xem là cơ sở trên đó tôi tạo dựng sự nghiệp. Nhưng việc khởi dựng một
công ti nhất định thật lí thú, và xây dựng sự nghiệp thành công thì thật thỏa mãn và đáng công.
Đa số cái tôi học được với tư cách nhà doanh nghiệp là bằng cách thử và sai, nhưng tôi
nghĩ phần nhiều trong số này thật sự có thể học được một cách hiệu quả hơn. Tôi nghĩ một nơi
giống như Caltech có thể mang lại cơ hội để tránh nhu cầu thử sai trong phần nhiều những cái
này. Mở rộng giáo dục để bao gồm cả một số kiến thức về kinh doanh – một chút về tài chính và
sự tổ chức – nhất định là hữu ích, và tôi nghĩ một khóa học theo xu hướng này có khả năng là
một sự bổ sung quan trọng cho chương trình đào tạo. Nhưng giáo dục kĩ thuật có khả năng là sự
khởi đầu tốt nhất cho một nhà doanh nghiệp trong lĩnh vực kinh doanh công nghệ cao.


Gordon Moore
Và điều quan trọng cần ghi nhớ một điều nữa về cơ bản cho bất kì tổ chức kinh doanh
nào: hãy làm cái anh làm tốt. Hãy xem xét những thứ khác như những cơ hội tăng thêm, nhưng
không thay đổi nền tảng của cái bạn đã làm tốt. Đối với Caltech, cái nó làm tốt là đào tạo ra các
© hiepkhachquay | 159

nhà khoa học và kĩ sư tốt nhất trên thế giới. Lời khuyên của tôi cho Caltech như sau: hãy hỗ trợ
sinh viên một chút nếu như họ muốn đi vào xu thế kinh doanh, nhưng không thay đổi bản chất cơ
bản của nền giáo dục Caltech.
Nguồn: The Accidental Entrepreneur (nobelprize.org)
hiepkhachquay dịch
An Minh, ngày 28/02/2008, 22:41:01




Hãy cho đi tất cả những gì bạn có
Bạn sẽ còn lại… hai bàn tay không !

×