Tải bản đầy đủ (.docx) (29 trang)

Báo cáo đồ án 1 thiết kế và thi công kit phát triển pic 16f877a, mạch đo điện áp một chiều từ 0-10v hiển thị LCD

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (1.02 MB, 29 trang )


ĐỒ ÁN 1
NHẬN XÉT CỦA GIÁO VIÊN
……………………………………………………………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………………………………………………………
……………………………………………………
……………………………………………………………………………………………………………………………………………………………………
…………………………………………………………………………………………





Tp.HCM, Ngày…. tháng năm 2013
Giáo viên hướng dẫn
2
ĐỒ ÁN 1
MỤC LỤC
CHƯƠNG I. MỞ ĐẦU
1.1 Lời mở đầu 5
1.2 Nhiệm vụ đề tài 6
CHƯƠNG II. VI ĐIỀU KHIỂN PIC16F877A
2.1 GIỚI THIỆU VỀ PIC 7


2.2 SƠ LƯỢC VỀ VI ĐIỀU KHIỂN PIC 16F877A
2.2.1 Sơ đồ chân và chức năng các chân của PIC16F877A 7
2.2.2 Nhận xét 9
2.3 CẤU TRÚC PHẦN CỨNG CỦA PIC16F877A
2.3.1 Cấu trúc tổng quát 9
2.3.2 Sơ đồ khối 10
2.4 TỔ CHỨC BỘ NHỚ
2.4.1 Bộ nhớ chương trình 11
2.4.2 Bộ nhớ dữ liệu 11
2.5 CÁC CỔNG XUẤT NHẬP CỦA PIC16F877A
2.5.1 Port A 12
2.5.2 Port B 12
2.5.3 Port C 12
2.5.4 Port D 13
2.5.5 Port E 13
2.6 BỘ ĐỊNH THỜI CỦA PIC16F877A 13
2.7 MODULE ADC 14
2.8 MODULE CCP 15
3
ĐỒ ÁN 1
2.9 CÁC CHẾ ĐỘ RESET 15
2.10 NGẮT (INTERRUPT) 16
2.11 NGẮT INT 16
CHƯƠNG III. LIQUID CRYSTAL DISPLAY (LCD)
3.1 GIỚI THIỆU VỀ LCD 16x2:
3.1.1 Sơ đồ chân và sơ đồ nguyên lý của LCD 17
3.1.2. Chức năng của các chân LCD 18
3.2 HIỂN THỊ KÝ TỰ TRÊN LCD
3.2.1 Nguyên tắc hiển thị 19
3.2.2 Mã lệnh của LCD 20

3.2.3 Một số mã lệnh cơ bản 21
CHƯƠNG IV. THIẾT KẾ VÀ THI CÔNG
4.1 Kit phát triển pic16f877a
4.1.1 Mạch nguồn 22
4.1.2 Main Pic và modul phát triển 23
4.2 Mạch đo điện áp DC từ 0-10v
4.2.1 Mạch nguyên lí 24
4.2.2 Code 25
PHẦN V: ĐÁNH GIÁ SẢN PHẨM VÀ MỞ RỘNG ĐỀ TÀI 29
TÀI LIỆU THAM KHẢO 30
4
ĐỒ ÁN 1
Lời mở đầu
 
!"#!$%&'()*+,*-./,0
$%#123.4"35
67/,0**'#8*9999(4:;
+#<);+=/,0**'>3(
;*)?$%&'#@A)B67;
+C)BD(E)B*2;,
);+F3G3H6$*$H4
()H)#
!)(3H67*IJ**?K"3%;
+3B3"9(LJ>3)(+
;+9A-#8B*6$'L&I*HMM=9+
)(65&),H*3HB95
&)*IA#
N$(*OH*6$!HP) @M<5Q
-!"R1S467MTU1@V1W8B8@MG3
M )XY “THIẾT KẾ VÀ THI CÔNG KIT PHÁT

TRIỂN PIC 16F877A, MƒCH ĐO ĐIỆN ÁP MỘT CHIỀU TỪ 0-10V
HIỂN THỊ LCD”#
133%)(/67-A**-/6$;
)(3;#8C99+;+"T3)5&)=#
5
ĐỒ ÁN 1
1.2 NHIỆM VỤ CỦA ĐỀ TÀI
TÊN ĐỀ TÀI: “THIẾT KẾ VÀ THI CÔNG KIT PHÁT
TRIỂN PIC 16F877A, MƒCH ĐO ĐIỆN ÁP MỘT CHIỀU TỪ 0-
10V HIỂN THỊ LCD”
1. Dữ liệu cho trước
<)3L-34#
<(;+XZ[\]]#
2. Nội dung cần hoàn thành
- Phần lí thuy†t:
1. 85I(;#
2. U;;+#
3. 83H;+#
4. ^(6M)6=*2;+#
5. _+3)L`34LA,;#
- Sản ph‡m:
R@H5&)aB#
R!H-A?3#
-Mục đích: H67B)(95&)
6
ĐỒ ÁN 1
CHƯƠNG II: VI ĐIỀU KHIỂN PIC16F877A
2.1 GIỚI THIỆU VỀ PIC
bc<-deb**33GcGG3(G*f,'UGG*
c*3G-;+A-#@'Q*((0

()*+L(g3'H*AXhhHL(g3
)#
 bc<XZi\]]j,Ebc<)(aA5D(00A
)/,0#!>,Ebc<),S6$33JGbc<
+(00(H;L;+bc<32#
2.2 SƠ LƯỢC VỀ VI ĐIỀU KHIỂN PIC 16F877A
2.2.1 Sơ đồ chân và chức năng các chân của PIC16F877A
• <>klXmY<9n/D
o YW*GG5o3/I(#
o 1((YW)((*2(*2bc<#
• <>pjhkjhlnmpjXkjXlqmpjnkjnlrmY9n/D
o pjhpjXpjnY&Ik(%#
o jhjXjnYW6=-/hXn#
7
ĐỒ ÁN 1
• <>pjnkjnk1psiRk<1psitlrmY&I(%kW6=
-/nkW)(gI(jVkW)(g
jV#
• <>pjqkjqk1psitlumY&I(%kW6=-qkW
)(glmjV#
• <>pjrk8v< Xk<Xvw8lZmY&I(%kW&-
83G*hkW*)X#<>pjukjrkk<nvw8l]mY&I(%kW
6=-rkWNbc(0kW*)n#
• <>pshkkjul\mY&I(%k;+(*kW6=
u#
• <>psXkkjZlxmY&I(%k;+(*kW
6=-/Z#
• <>psnkkj]lXhmY&II(%k<>0;+(*
kW6=-/]#
• <>vN<Xk<^ XlXqmYW%,HW

&C-#
• <>vN<nk<^ nlXrmYW*,HW*I(&
#
• <>p<hk8Xv<vk8X< clXumY&I(%kW83G*Xk
W&-83G*X#
• <>p<Xk8XvNck<<bnlXZmY&I(%kW,83G*Xk
W<(*GnW*3(*GnW*byQn#
• <>p<nk<<bXlX]mY&I(%kW<(*GXW*3(*GXW
*byQX#
• <>p<qkN< kN<^lX\mY&I(%kW&%(M
W*Nbc#kW&%(MW*
cn<#
• <>pVhR]kbNbhR]lXxRqhmY&I(%k,.(*#
• <>p<rkNVckNVjlnqmY&I(%k,.Nbck&I(,.
cn<#
• <>p<ukNVvlnrmY&I(%k,.*Nbc#
• <>p<Zk8zk< lnumY&I(%k*;IMwNjp8k&
MwNjp8#
• <>p<]kpzkV8lnZmY&I(%kIMwNjp8#
• <>pPhkc8lqqmY&I(%kW5d#
• <>pPXlqrmpPnlqumY&I(%#
• <>pPqkbUQlqZmY&I(%k({((*2)(I(c<Nb#
• <>pPrlq]mpPulq\mY&I(%#
• <>pPZkbU<lqxmY&II(%k3H|*%&(*2c<Nb#
• <>pP]kbUVlrhmY&I(%k3H|*%,.(*2c<Nb#
8
ĐỒ ÁN 1
2.2.2 Nhận xét
• bc<XZi\]]j9rh>#
• rh>ubvp8n>I(Mn>UVn>H

X>,+psNs8;+#
• ubvp8bc<XZi\]]jM3Y
o bvp8PY\>
o bvp8<Y\>
o bvp8VY\>
o bvp8jYZ>
o bvp8sYq>
• Q}>bc<XZi\]]j9/D)#8*993%>
4,0Y3}>9+H636$&I(3
>/D,+()BH#
2.3 CẤU TRÚC PHẦN CỨNG CỦA PIC16F877A
2.3.1 Cấu trúc tổng quát
• \ ip3
• qZ\&\Gp3
• nuZGsibpvQ
• ubvp8*5;+(
• nB$83G*h83G*n\
• XB$83G*XXZ9+Ho3D67
M&
• n<(*Gk<3(*GkbyQ
• XjV<
• n)6=
• XB$)3)lyV83G*m
• Xa\5;+
• Xa%(
• Md
• P-H935);+6Y
o P[LD&967Xhh#hhhA#
o PssbpvQLD&967X#hhh#hhhA#
o V.ssbpvQ9+6*.*-rhD3#

o  LDH(6=*2;+(A3;3#
o H(676=*2*-3Hc<Nblc<*NG*
b**33m4Jn>#
o y,83G*,*#
9
ĐỒ ÁN 1
o </DL33'6=*2#
o <NGG(#
o <9+H;,Hv*)#
2.3.2 Sơ đồ khối
• %j^wKj*3G^w#
• %/6=*2Kib**3QG3*#
• %/,.sbpvQKVsbpvQ#
• %[GpjQKpjQ[GpGG*#
• %L3';+Kc*VG,G<*#
• %#
• %H83G*#
• %(%(#
• %+a56=%KjV<#
• %)(*&I(#
10
ĐỒ ÁN 1
2.4 TỔ CHỨC BỘ NHỚ
2.4.1 Bộ nhớ chương trình
P6=*2;+bc<XZi\]]j[,
67\ ~*,lX~*,•Xrm67(>;*lC
(Gh(Gqm#66=*29LD/67
\€Xhnr•\Xxnl233'9`9,67X~*,#!+
3'967B•\ ~*,6=*236=*29
,67Xqlb<‚XnYhƒm#

2.4.2 Bộ nhớ dữ liệu
P,.bc<ssbpvQ67*3;#
!%bc<XZi\]]j,.67*3r#Q}9,
67Xn\GM3)9/DNiUlN(G
ipGG*mF3o)B•I()305
UbplUGG*b*(GpGG*mF3oB•EH*#<)
Nip6$&-67",0l5,06N8j8wNm`67o
I),.„(*J)*2*&I3
L36=*2#
2.5CÁC CỔNG XUẤT NHẬP CỦA PIC16F877A
1;+bc<XZi\]]j9ua&I(M3bvp8j
bvp8Pbvp8<bvp8Vbvp8s#<I*„/DCa&I
(`67;(0+*(A#
2.5.1 Port A
• bvp8jlpbjmM3Zckv(#!>)>e;f
l,*G(m?9+&I(67#</Dckv
67;+o8pcNjlB•\um#Q%&)(/
D3>*bvp8j(eGf;+6=
/>9*8pcNj67H3%&)(/
D3>*bvp8j(eG*f;+
6=/>9*8pcNj#8)
6=%)bvp8);+6=/8pcN
11
ĐỒ ÁN 1
l%bvp8j8pcNj%bvp8P8pcNP%bvp8<
8pcN<%bvp8V8pcNV%bvp8s8pcNsm#
• P-H9bvp8jEW*jV<)W
W&83G*hW(
QNNblQG*N*NG*b*m#!5`67*2
0+*(A#

• <)Nip-Jbvp8jM3Y
o bvp8jlB•humY/)*B)(*bvp8j#
o 8pcNjlB•\umY/)*B)(*bvp8j#
o <Q<vlB•x<mY;+)#
o <1p<vlB•xVmY;+))(#
o jV<vXlB•ximY;+jV<#
2.5.2 Port B
• bvp8PlpbPmM3\(ckv#8;+&I(6=/
8pcNP#P-H93%>bvp8PE67",0*
J)*2H(6=*2;+)H()
#bvp8PE-JdH83G*h#bvp8PE
6757(/D*o{-67;+o6=
*2#
• 8pcNPlB•\ZX\ZmY;+&I(
• vb8cv…psUlB•\XX\XmY;+dH
83G*h#
2.5.3 Port C
• bvp8<lpb<mM3\(ckv#8;+&I(6=/
8pcN<#P-H9bvp8<E/)>/D
)83G*XbyQ)g(%(cn<NbcNNb
wNjp8#
• <);+-Jbvp8<Y
o bvp8<lB•h]mY/)*B)(*bvp8<#
o 8pcN<lB•\]mY;+&I(#
2.5.4 Port D
• bvp8VlpbVmM3\>ckv;+&I(
6=/8pcNV#bvp8VEa&I,.g
(bNblb*GNGb*m#
• <)-Jbvp8VM3Y
o 8bvp8VY/)*B)(*bvp8V#

12
ĐỒ ÁN 1
o 88pcNVY;+&I(
2.5.5 Port E
• bvp8slpbsmM3q>ckv#8;+&I(
6=/8pcNs#<)>bvp8s9W#P-H
9bvp8sE)>;+g(bNb#
• <)-Jbvp8sM3Y
o bvp8sY/)*B)>*bvp8s#
o 8pcNsY;+&I(&)()4%g
(bNb#
o jV<vXY;+%jV<#
2.6 BỘ ĐỊNH THỜI CỦA PIC16F877A
• bc<XZi\]]j9ILq83G*Y83G*hl\m83G*XlXZm83G*nl\
m#
• 83G*h83G*n3\l)*B3%iim*
83G*X3XZl)*B3%iiiim#
• 83G*h83G*X83G*n;9H3G*G*#
z9A%F†A%*#z)-83G*h
67}*7o(*GG*9+67(o;)
lA%)H)m*)4%&)
-83G*X%B#83G*n67}*7oA%
(*GG*(G*(-H)‡67%B
H-#
• 83G*X9J%<<b*83G*n67%%
NNb#Q)`„(,S,6783G*57(
/,0#
2.7 MODULE ADC
• jV<ljV<G*G*m+a5.,H
6=%#bc<XZi\]]j9\WlpjrYpjhpsnYpshm#

@g1psi9+671VV1NN
+g67&)(*->pjnpjq# JL+a
C56=5%Xh%6=/676*
jVpsN@YjVpsN^# 4",0+ajV<
)9+67",06)46$)#
J)*2+aIJL`676
13
ĐỒ ÁN 1
jVpsN@YjVpsN^ljV<vh‚nƒm67&9;h$djVci
67G#
• Q,GjV<M3rY
o 8/GJLjVpsN@
o 8/GI(JLjVpsN^
o 8/);+jN<vh
• _*2+aC6=%M3)6Y
1. 8()4%+ajV<Y
o <W)(3‡l,*-)4%
jV<vXm
o <-+ajVljV<vhm#
o <&-+ajVljV<vhm#
o <({(+ajVHljV<vhm#
2. 8()$djV
o <G*jVci#
o NGjVcs#
o NGbscs#
o NGUcs#
3. !7J)*2I3‡I#
4. PdAJ)*2+aYNG kljV<vhm#
5. !7J)*2+aIY
o +3*k•hJ)*2+a'I#

o +3*$d#
6. !JL+a&9$dGklA(
0+am#
7. 8(0)6XnJ)*2+a(G#
• <A„T9)6JL+ajV)6
67;+ojViQ#
• <)-J+ajV<M3Y
o c8<vlB•hP\PXhPX\PmY({()d
l)Ucsbscsm#
o bcpXlB•h<mY/$djVljVcim#
o bcsXlB•\<mY/;+jVljVcsm#jVpsN@lB
•XsmjVpsN^lB•xsmY)/JL
+ajV#
o jV<vhlB•XimjV<vXlB•ximY&)()4
%+ajV#
o bvp8jlB•hum8pcNjlB•\umY-J)W
obvp8j#
o bvp8slB•hxm8pcNslB•\xmY-J)W
obvp8s#
14
ĐỒ ÁN 1
2.8 MODULE CCP
• l<(*Gk<3(*GkbyQmM3))*-)&3I(
o)383G*X83G*n#bc<XZi\]]j6757(ˆ%
<<bY<<bX<<bn#Q}<<b93XZ
l<<bpX@Y<<bpX^<<bpn@Y<<bpn^m(;+,
%<<b&p<nk<<bXp<Xk8XvNck<<bn#<)/D<<b
M3Y
o <(*G#
o N)l<3(*Gm#

o !;*&byQlbGy,Q,m#
2.9 CÁC CHẾ ĐỘ RESET
• *GGY (o3/I(;+`67*GG#
2.10 NGẮT (INTERRUPT)
• bc<XZi\]]j9XuMH*Hd67;+o
c8<vlUcsm#P-H93}dE93;+$
d*-#<)$d‡67G26$:3';d
&L*II(*H)Ucs-Hd‡(0
Ucs);+)#P;+dpPhkc88Qph
F3*c8<vE/({()d
Hbscs#P;+)dF3*bcsXbcsn#<$
d)dF3*bcpXbcpn#
• !%)dH6dC>c8dCa*H
))(bvp8Plbvp8PcG**(Gm&)Bd
&L*Aqr2$+3&L*d#
2.11 NGẮT INT
15
ĐỒ ÁN 1
• d,*-a*H)(pPhkc8#<H)>*
d9+H-H&%67;+oc8sVU
lvb8cv…psU‚Zƒm# 9H)57(&IH(
pPhkc8$dc8i67GII(*H));+Ucs
bscs#d9LD)/;+CGG(
({(d67G*6N^ssb67#
• U‰8VvNŠ8@j‹!Œc8p•U8@Žc<Ž<bc8pvUbvp8P#
<)(bvp8P‚]Yrƒ67,d67;+opPcs
lc8<v‚rƒm#<$ddpPcilc8<v‚hƒm#
16
ĐỒ ÁN 1
CHƯƠNG III

LIQUID CRYSTAL DISPLAY (LCD)
3.1 GIỚI THIỆU VỀ LCD 16x2Y
3.1.1 SƠ ĐỒ CHÂN VÀ SƠ ĐỒ NGUYÊN LÝ CỦA LCD
• N=M>Y
17
ĐỒ ÁN 1
• N=M-5Y
3.1.2 Chức năng của các chân LCD:
18
ĐỒ ÁN 1
3.2 HIỂN THỊ KÝ TỰ TRÊN LCD
3.2.1 Nguyên tắc hiển thị
• Q6=*2+BT*-^<VM3)6Y
o z932#
o !+B#
o !B*5*:l=dA+Bm#
o @+BT#
• <„TY
o n6%9+(H;AA+B;T#
o Q},.+B-^<VA(L+3
*$*6#12A(L(>(%$
^<Vl5,06&9322n33,67*)2$
&932XZr3m#<+B3B`+B,BB*5
*:A,E/I#
19
ĐỒ ÁN 1
3.2.2 Mã lệnh của LCD:
20
ĐỒ ÁN 1
3.2.3 Một số mã lệnh cơ bản:

21
ĐỒ ÁN 1
CHƯƠNG IV: THIẾT KẾ VÀ THI CÔNG
4.1 KIT PHÁT TRIỂN PIC16F877A:
4.1.1 Mạch nguồn:
• MI(IL)%u1…V<#
• <I(MXn1…j<")(JA,,G`67d6=%
(•#
• 80<X9/D3(•)(<Nn<n<Nr9/D
*)&#
• c<a)(]\hu9/DaB)(gu1…V<#
• pNXpNn*o,E^sV=#
22
ĐỒ ÁN 1
4.1.2 Main Pic và các modul phát triển:
23
ĐỒ ÁN 1
4.2 MƒCH ĐO ĐIỆN ÁP DC TỪ 0-10V:
4.2.1 Mạch nguyên lí:
N=M%Y
R %jV<%&"5YbXZ[\\]
R %+BY^<VXZ&n
R %MY3HMu
R !)(YM,aChRXh
• pZp])*BXQ3H(>)(4-jh#12pZp]F-
9)(-jV<hF•*B)-&"5+B*
^<VA>n)*B67#
4.2.2 Code
• Dùng CCS để vi†t code C
Code chương trình:

//chuong trinh doc gia tri hien thi dien ap(0-10v) len LCD
#include <16f877A.h>
#device ADC=8
#fuses nowdt,noprotect,nolvp
24
Mạch phân áp
ĐỒ ÁN 1
#use delay(clock=4000000)
#use fast_io(e)
#use fast_io(c)
#use fast_io(a)
#define RS pin_E0
#define RW pin_E1
#define EN pin_E2
//Cac chuong trinh con cua LCD
void write_com(int8 data)
{
output_c(data);
output_bit(EN,1);
output_bit(RS,0);//mode=0=>comand/mode=1=>data
output_bit(RW,0);//cho phep viet lenh
delay_ms(1);
output_bit(EN,0);
delay_ms(1);
}
void write_data(data)
{
output_c(data);
output_bit(EN,1);
output_bit(RS,1);//mode=0=>comand/mode=1=>data

output_bit(RW,0);//cho phep viet lenh
delay_ms(1);
output_bit(EN,0);
delay_ms(1);
}
void lcd_init()
{
write_com(0x01);
write_com(0x38);
write_com(0x0e);
write_com(0x06);
}
//Ket thuc chuong trinh con LCD
//Viet chuong trinh con khoi dong ADC
void adc_init()
{
setup_adc(ADC_CLOCK_DIV_32);
25

×