Tải bản đầy đủ (.pdf) (253 trang)

BÁO CÁO-THIẾT KẾ NHỜ MÁY TÍNH

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (11.29 MB, 253 trang )

THIẾT KẾ NHỜ MÁY TÍNH
THIẾT KẾ NHỜ MÁY TÍNH
Computer Aided Design
Nguyễn Phú Bình, Phạm Văn Thuận
Bộ môn KTMT - Khoa CNTT
Trường ĐH Bách Khoa Hà Nội
Nội dung của môn học
 Phần 1: Cơ sở thiết kế mạch logic
 Phần 2: Quy trình thiết kế ASIC/ASIP
 Phần 3: Ngôn ngữ mô tả phần cứng VHDL
Copyright (c) 10/2006 by NPB
2
Lưu ý của tác giả
 Không ñược tự ý sao chép hay quảng bá bài giảng
này nếu chưa ñược sự ñồng ý của tác giả.
 Địa chỉ liên hệ của tác giả:
Nguyễn Phú Bình
Bộ môn Kỹ thuật Máy tính
Copyright (c) 10/2006 by NPB
3
Bộ môn Kỹ thuật Máy tính
Khoa Công nghệ Thông tin
Trường Đại học Bách Khoa Hà Nội
Tel: 8696125 – Mobile: 0983533925
Website:
Email:

Thiết kế nhờ máy tính
Phần 3
NGÔN NGỮ MÔ TẢ PHẦN
Copyright (c) 10/2006 by NPB


4
NGÔN NGỮ MÔ TẢ PHẦN
CỨNG VHDL
Thiết kế nhờ máy tính
Chương 1
GIỚI THIỆU CHUNG
Copyright (c) 10/2006 by NPB
5
GIỚI THIỆU CHUNG
Nguyễn Phú Bình
Bộ môn Kỹ thuật Máy tính, Khoa Công nghệ Thông tin
Trường Đại học Bách Khoa Hà Nội
Nội dung chương 1
1.1. Giới thiệu về VHDL
1.2. Các bước thiết kế
1.3. Các công cụ tự ñộng thiết kế ñiện tử
1.4. Chuyển từ mã VHDL sang mạch
Copyright (c) 10/2006 by NPB
6
1.4. Chuyển từ mã VHDL sang mạch
1.1. Giới thiệu về VHDL
HDL
HARDWARE
DESCRIPTION
LANGUAGES
Tại sao cần có ngôn ngữ mô tả
phần cứng (HDL) ???
Trước khi có HDL…
Boolean equations
design

-Mỗi mạch cần thiết kế bao
gồm các cổng logic và các
flip-flops
-Sử dụng các phương pháp
tối giản hóa ñể ñơn giản
mạch thực thi
Chỉ phù hợp cho
thiết kế nhỏ
Trước khi có HDL…
Schematic based
design
-Cải tiến cho phương pháp
thiết kế sử dụng hàm logic.
-
Ngoài các cổng logic, các
-
Ngoài các cổng logic, các
flip-flops, cho phép sử dụng
thêm các môñun có sẵn
-Giao diện ñồ họa
Không khả thi cho
các thiết kế lớn
Ưu, nhược ñiểm của các phương pháp cũ
Ưu ñiểm:
 Dễ áp dụng
Nhược ñiểm của thiết kế

Qua thiết kế chỉ cho thấy ñược kết nối vật lý trong

Qua thiết kế chỉ cho thấy ñược kết nối vật lý trong

mạch mà không cho thấy chức năng của mạch
 Gặp khó khăn khi thiết kế các mạch phức tạp
Khi có HDL
Cho phép thiết kế các
mạch phức tạp.
Đọc thiết kế có thể
thấy ñược chức năng
của mạch dễ dàng
của mạch dễ dàng
hơn.
Giới thiệu về VHDL
 Very High Speed Intergrated Circuit Hardware
Description Language
 Tiêu chuẩn IEEE-1076-1987
 Trước khi VHDL ra ñời, có khá nhiều ngôn ngữ mô
tả phần cứng:
Copyright (c) 10/2006 by NPB
12
tả phần cứng:
 Không thống nhất
 Mang các ñặc trưng gắn với thiết bị của nhà cung cấp
 Thuộc sở hữu nhà cung cấp
Các ưu ñiểm của VHDL
 Tính công cộng:
 VHDL là một tiêu chuẩn của IEEE
 Không thuộc sở hữu của bất kỳ cá nhân hay tổ chức
nào.

Được hỗ trợ của nhiều nhà sản xuất thiết bị cũng như
Copyright (c) 10/2006 by NPB

13
Được hỗ trợ của nhiều nhà sản xuất thiết bị cũng như
nhiều nhà cung cấp công cụ thiết kế mô phỏng hệ thống.
Các ưu ñiểm của VHDL (tiếp)
 Khả năng hỗ trợ nhiều công nghệ và phương pháp
thiết kế:
 VHDL cho phép thiết kế bằng nhiều phương pháp như
thiết kế từ trên xuống, hay từ dưới lên dựa vào các thư
viện có sẵn.

VHDL cũng hỗ trợ cho nhiều loại công nghệ xây dựng
Copyright (c) 10/2006 by NPB
14

VHDL cũng hỗ trợ cho nhiều loại công nghệ xây dựng
mạch như sử dụng công nghệ ñồng bộ hay không ñồng
bộ, sử dụng ma trận lập trình ñược hay sử dụng mảng
logic ngẫu nhiên.
 VHDL có thể phục vụ tốt cho nhiều mục ñích thiết kế
khác nhau, từ việc thiết kế các phần tử phổ biến ñến việc
thiết kế các IC ứng dụng ñặc thù (Application Specified
IC - ASIC).
Các ưu ñiểm của VHDL (tiếp)
 Độc lập với công nghệ:
 Hoàn toàn ñộc lập với công nghệ chế tạo phần cứng.
 VD: một mô tả hệ thống dùng VHDL thiết kế ở mức cổng
có thể ñược chuyển thành các bản tổng hợp mạch khác
nhau tùy thuộc vào công nghệ chế tạo phần cứng nào
ñược sử dụng (dùng CMOS, nMOS, …).
Copyright (c) 10/2006 by NPB

15
ñược sử dụng (dùng CMOS, nMOS, …).
 Khả năng mô tả mở rộng:
 VHDL cho phép mô tả hoạt ñộng của phần cứng từ mức
hệ thống số (hộp ñen) cho ñến mức cổng.
 VD: có thể mô phỏng một bản thiết kế bao gồm cả các
hệ con ñược mô tả ở mức cao và các hệ con ñược mô tả
chi tiết.
Các ưu ñiểm của VHDL (tiếp)
 Khả năng trao ñổi kết quả:
 Vì VHDL là một tiêu chuẩn ñược chấp nhận, nên một mô
hình VHDL có thể chạy trên mọi bộ mô phỏng ñáp ứng
ñược tiêu chuẩn VHDL.
 Khả năng hỗ trợ thiết kế mức lớn và khả năng sử
dụng lại các thiết kế:
Copyright (c) 10/2006 by NPB
16
dụng lại các thiết kế:
 Là một ngôn ngữ lập trình bậc cao nên VHDL có thể sử
dụng ñể thiết kế một hệ thống lớn với sự tham gia của
một nhóm nhiều người.
 Bên trong VHDL có nhiều tính năng hỗ trợ việc quản
lý, thử nghiệm và chia sẻ thiết kế.
 VHDL cũng cho phép dùng lại các phần ñã có sẵn.
Nội dung chương 1
1.1. Giới thiệu về VHDL
1.2. Các bước thiết kế
1.3. Các công cụ tự ñộng thiết kế ñiện tử
1.4. Chuyển từ mã VHDL sang mạch
Copyright (c) 10/2006 by NPB

17
1.4. Chuyển từ mã VHDL sang mạch
Các bước thiết kế
 Bước 1: Viết mã VHDL
(Register Transfer Level)
 Bước 2: Tổng hợp
 Biên dịch mã VHDL thành
Netlist
 Tối ưu Netlist (về tốc ñộ/diện
tích)

mô phỏng thiết kế
Copyright (c) 10/2006 by NPB
18
tích)

mô phỏng thiết kế
 Bước 3: Xếp chỗ và nối dây
 Dùng phần mềm tạo layout
cho chip PLD/FPGA hoặc các
mặt nạ cho ASIC
Quy trình thiết kế
Viết mã HDL
Tổng hợp
Mô phỏng về chức năng
Gán chân
Phân tích và mô phỏng về
thời gian
Chuyển thiết kế lên chip
thật

Nội dung chương 1
1.1. Giới thiệu về VHDL
1.2. Các bước thiết kế
1.3. Các công cụ tự ñộng thiết kế ñiện tử
1.4. Chuyển từ mã VHDL sang mạch
Copyright (c) 10/2006 by NPB
20
1.4. Chuyển từ mã VHDL sang mạch
1.3. Các công cụ EDA
 Một số bộ công cụ (design suite):
 Altera’s Quartus II
 Xilinx’s ISE
 …

Một số gói chương trình riêng:
Copyright (c) 10/2006 by NPB
21

Một số gói chương trình riêng:
 Leonardo Spectrum (CT tổng hợp của Mentor Graphics)
 Synplify (CT tổng hợp của Synplicity)
 ModelSim (CT mô phỏng của Model Technology, Mentor
Graphics)
 …
Nội dung chương 1
1.1. Giới thiệu về VHDL
1.2. Các bước thiết kế
1.3. Các công cụ tự ñộng thiết kế ñiện tử
1.4. Chuyển từ mã VHDL sang mạch
Copyright (c) 10/2006 by NPB

22
1.4. Chuyển từ mã VHDL sang mạch
1.4. Chuyển từ mã VHDL sang mạch
 VD: Bộ cộng ñầy ñủ (Full Adder)
Copyright (c) 10/2006 by NPB
23
Mã VHDL và tín hiệu mô phỏng
Copyright (c) 10/2006 by NPB
24
Từ mã VHDL sang mạch
 Mạch vật lý phụ thuộc vào công cụ tổng hợp (biên
dịch/tối ưu) và công nghệ của thiết bị.
 VD: các sơ ñồ mạch có thể có của bộ FullAdder
 PLD, FPGA: hình b, c
 ASIC: hình d
Copyright (c) 10/2006 by NPB
25

×