Tải bản đầy đủ (.pdf) (125 trang)

Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (6.11 MB, 125 trang )

LVTN Tóm tắt.
GVHD: PGS. TS. Lê Minh Phương Trang iv HVTH: Trương Minh Triệu
TÓM TẮT

Động cơ không đồng bộ ba pha là thiết bị chủ lực trong truyền động điện xoay
chiêu vì có nhiêu ưu đi ểm. Tuy nhiên, việc điều khiển động cơ không đồng bộ là
một vấn đề khó khăn, phức tạp với tính chất phi tuyến của nó.
Có 1 ý tưởng đưa ra là làm sao để điều khiển động cơ không đồng bộ 3 pha giống
như việc điều khiển của động cơ một chiều. Đó là phương pha

p điêu khiê
̉
n đi
̣
nh
hươ

ng trươ

ng (Field Oriented Control - FOC), Phương pha

p này co

kha
̉
năng điêu
khiê
̉
n đô
̣
c lâ


̣
p tư

thông va

moment.
Để thực hiện được phương pháp này thì góc từ thông rotor
r

phải được biết.
Góc
r

được tìm bằng 2 cách, trực tiếp (gọi là điều khiển trường trực tiếp - DFOC)
và gián tiếp (gọi là điều khiển trường gián tiếp - IFOC). Trong phương pháp trực
tiếp thì góc
r

có thể tìm thông qua dòng điện và điện áp trên stator hay khe hở
không khí. Với phương pháp gián tiếp thì góc
r

được tính bởi góc trượt và góc của
rotor. Trong luận văn này học viên đã sử dụng phương pháp gián tiếp.














LVTN Abstract.
GVHD: PGS. TS. Lê Minh Phương Trang v HVTH: Trương Minh Triệu
ABSTRACT

Three-phase asynchronous motors are main equipment in AC Drives because it
have many advantages. However, it is difficult to control them because of their
nonlinear characterisics.
An idea have been given how to control three-phase asynchronous motors like
direct current motors control. This is Field Oriented Control (FOC) method, this
method can decoupled control of torque and flux.
To accomplish this, the flux rotor angle
r

must be known precisely. There are
two ways to calculate angle
r

by direct (call Direct Field Oriented Control -
DFOC) and by indirect (call Indirect Field Oriented Control - IFOC). In direct, it
can be found based on the stator or air-gap. In indirect, it can be calculated by the
slip angle and the rotor angle. In this dissertatio, I used indirect method.

LVTN Mục lục.

VHD: PGS. TS. Lê Minh Phương Trang vi HVTH: Trương Minh Triệu
MC LC

Trang tựa Trang
Quyết định giao đề tài
Lý lịch cá nhân i
Lời cam đoan ii
Cảm tạ iii
Tóm tắt iv
Mục lục vi
Danh sách các chữ viết tắt x
Danh sách các hình vii
Danh sách các bảng viii
Chương 1. Tng quan 01
1.1. Đặt vấn đề 01
1.2. Định hướng của đề tài 03
1.3. Nhiệm vụ của luận văn 03
1.4. Kết quả mong muốn đạt được 03
Chương 2: C s lý thuyt 04
Chương 3: Động cơ không đng bộ 3 pha và các phương pháp điều khiển 05
3.1. Tổng quan về động cơ KĐB 3 pha 05
3.1.1. Cấu tạo 05
3.1.1.1. Stator 05
3.1.1.2. Rotor 06
3.1.1.3. Khe hở không khí 08
3.1.2. Nguyên lý hoạt động của động cơ KĐB 3 pha 08
3.1.3. ng dụng của động cơ KĐB và khả năng thay thế động cơ DC 10
3.2. Vector không gian của các đại lượng 3 pha 12
3.2.1. Hệ trục tọa độ tĩnh


13
3.2.1.1. Đổi tọa độ từ
, , wuv


13
3.2.1.2. Đổi tọa độ từ
, , wuv


14
LVTN Mục lục.
VHD: PGS. TS. Lê Minh Phương Trang vii HVTH: Trương Minh Triệu
3.2.2. Hệ tọa độ quay dq 14
3.2.2.1. Đổi tọa độ từ
dq


15
3.2.2.2. Đởi tọa độ từ
dq


15
3.3. Mô hình của động cơ KĐB 3 pha 15
3.3.1. Hệ phương trình cơ bản của động cơ 16
3.3.2. Mô hình trạng thái của động cơ trên hệ tọa độ stator (hệ

) 18
3.3.3. Mô hình trạng thái của động cơ trên hệ tọa độ từ thông rotor (hệ dq) 19

3.3.4. u điểm của việc mô tả động cơ KĐB 3 pha trên hệ tọa độ từ thông rotor 21
3.4. Các phương pháp điều khiển tốc độ động cơ KĐB 21
3.4.1. Điều khiển tốc độ bằng cách thay đổi số đôi cực 22
3.4.2. Điều khiển tốc độ bằng cách thay đổi điện áp stator 22
3.4.3. Điều khiển tốc độ bằng cách thay đổi tần số nguồn áp 24
3.4.3.1. Nguyên lý điều khiển từ thông không đổi 24
3.4.3.2. Trường hợp tốc độ động cơ thấp 26
3.4.3.3. Trường hợp tốc độ lớn hơn tốc độ định mức 26
3.4.3.4. Đặc tính cơ 26
3.4.4. Điều khiển vector 27
3.4.4.1. Phương pháp điều khiển trực tiếp moment – DTC 27
3.4.4.2. Phương pháp điều khiển định hướng tựa trường – FOC 28
3.5. Bộ nghịch lưu 3 pha và vector không gian 32
3.5.1. Bộ nghịch lưu 3 pha 32
3.5.2. Vector không gian 33
3.5.3. Cách điều chế vector không gian 34
3.5.4. Giản đồ đóng ngắt các khóa 36
Chương 4: Tng quan về Digital Signal Processing 38
4.1. Giới thiệu DSP TMS320F2812 38
4.2. Các đặc tính kỹ thuật 38
4.3. Chức năng của các khối 39
4.3.1. Chức năng xuất nhập 41
4.3.1.1. Thanh ghi GPxMUX 42
4.3.1.2. Thanh ghi GPxDIR 42
LVTN Mục lục.
VHD: PGS. TS. Lê Minh Phương Trang viii HVTH: Trương Minh Triệu
4.3.1.3. Thanh ghi GPxDAT 42
4.3.2. Bộ quản lý sự kiện 42
4.3.2.1. Bộ định thời chung General Purpose Timer – GP Timer 44
4.3.2.2. Điều rộng xung TxPWM 44

4.3.2.3. Điều rộng xung PWM 47
4.3.2.4. Bộ đếm xung encoder – QEP 49
4.3.2.5. Bộ chuyển đổi tín hiệu tương tự sang số - ADC 50
4.3.3. Bộ ngắt ngoại vi mở rộng – PIE 54
4.4. Chức năng các chân của chip F2812 56
4.5. KIT eZdsp
TM
F2812 67
4.5.1. Đặt tính kỹ thuật 68
4.5.2. Các Port trên Kit 69
4.5.3. Vị trí và chức năng các chân trên từng Port 70
4.5.3.1. Port 1 70
4.5.3.2. Port 2 70
4.5.3.3. Port 4/7/8 71
4.5.3.4. Port 5/9 73
4.5.4. Vị trí và chức năng của các Jumper 74
4.5.5. Led hiển thị 75
4.6. Các chân được dùng trong luận văn 75
Chương 5: Gii thuật lập trình 76
5.1. Sơ đồ khối phần cứng 76
5.2. Lưu đồ giải thuật cho chương trình chính 76
5.2.1. Giải thuật đọc ADC 78
5.2.2. Giải thuật đọc Encoder 78
5.2.3. Giải thuật cho khối PI 79
5.2.4. Giải thuật tính góc từ thông rotor
r

79
5.2.5. Giải thuật cho khối tính Sine
r


, Cos
r

80
5.2.6. Giải thuật cho chuyển trục 80
5.2.6.1. Chuyển trục từ
abc


80
5.2.6.2. Chuyển trục từ
dq


81
LVTN Mục lục.
VHD: PGS. TS. Lê Minh Phương Trang ix HVTH: Trương Minh Triệu
5.2.6.3. Chuyển trục từ
dq


81
5.2.7. Giải thuật của khối Space vector 82
Chương 6: Thit k phần cứng và mô hình 86
6.1. Thiết kế phần cứng 86
6.1.1. Thông số động cơ 86
6.1.2. Sơ đồ kết nối mạch phần cứng 87
6.2. Mạch thiết kế thực tế 87
6.2.1. Mạch công suất 87

6.2.1.1. Mạch nghịch lưu 3 pha 87
6.2.1.2. Sơ đồ nguyên lý 89
6.2.1.3. Mạch thi công 89
6.2.2. Mạch nguồn DC 89
6.2.2.1. Nguyên lý hoạt động 89
6.2.2.2. Sơ đồ nguyên lý 90
6.2.2.3. Mạch thi công 90
6.2.3. Mạch lái + Deadtime 91
6.2.3.1. IC HCPL 3120 91
6.2.3.2. Sơ đồ nguyên lý mạch dead time 91
6.2.3.3. Sơ đồ nguyên lý mạch lái 92
6.2.3.4. Mạch thi công 92
6.2.4. Mạch cảm biến dòng 93
6.2.4.1. Cảm biến dòng LA 25-NP 93
6.2.4.2. Sơ đồ nguyên lý 93
6.2.3.5. Mạch thi công 93
6.2.5. Mạch xử lý tín hiệu cảm biến biến dòng 93
6.2.5.1. Sơ đồ nguyên lý 94
6.2.5.2. Mạch thi công 94
6.2.6. Mạch xử lý tín hiệu encoder 94
6.2.6.1. Sơ đồ nguyên lý 95
6.2.6.2. Mạch thi công 95
6.2.7. Mạch nguồn 95
LVTN Mục lục.
VHD: PGS. TS. Lê Minh Phương Trang x HVTH: Trương Minh Triệu
6.2.7.1. Sơ đồ nguyên lý 95
6.2.7.2. Mạch thi công 96
6.2.8. Mạch hiển thị Led 7 thanh 96
6.2.8.1. Sơ đồ nguyên lý 96
6.2.8.2. Mạch thi công 97

6.3. Mô hình 97
Chương 7: Kt qu thc nghim 98
7.1. Kết quả 98
7.1.1. Vận tốc đặt 1000 rpm 98
7.1.1.1. Điện áp pha VAC 98
7.1.1.2. Điện áp dây VAC 99
7.1.1.3. Dòng điện mA 99
7.1.2. Vận tốc đặt 1500 rpm 99
7.1.2.1. Điện áp pha VAC 99
7.1.2.2. Điện áp dây VAC 100
7.1.2.3. Dòng điện mA 100
7.1.3. Vận tốc đặt 2000 rpm 100
7.1.3.1. Điện áp pha VAC 100
7.1.3.2. Điện áp dây VAC 101
7.1.3.3. Dòng điện mA 101
7.1.4. Vận tốc đặt 2500 rpm 101
7.1.4.1. Điện áp pha VAC 101
7.1.4.2. Điện áp dây VAC 102
7.1.4.3. Dòng điện mA 102
7.2. Nhận xét 102
Chương 8: Kt luận 103
8.1. Kết luận 103
8.2. Các vấn đề đã thực hiện 103
8.3. Các vấn đề còn tồn tại 103
8.4. Hướng phát triển 103
TÀI LIU THAM KHO 104
PH LC 105
LVTN Danh sách các chữ viết tắt.
GVHD: PGS. TS. Lê Minh Phương Trang xi HVTH: Trương Minh Triệu
DANH SÁCH CÁC CH̃ VIT T́T


DC: một chiều.
KĐB: không đông bô
̣
.
FOC - Field Oriented Control: điêu khiê
̉
n tư
̣
a trươ

ng.
RFOC – Roto Field Oriented Control: điều khiển tựa từ thông roto.
DRFOC – Direct Roto Field Oriented Control: điều khiển tựa từ thông roto kiểu
trực tiếp.
IRFOC – Indirect Roto Field Oriented Control: điều khiển tựa từ thông roto kiểu
gián tiếp.
DTC - Direct Torque Control: điêu khiê
̉
n trư
̣
c tiêp moment.
m
L
: hỗ cảm giữa rotor và stator (H).
s
L

: điện cảm tiêu tán phía cuộn dây stator(H).
r

L

: điện cảm tiêu tán phía cuộn dây rotor đã quy đổi về stator (H).
s m s
L L L


: điện cảm stator.
r m r
L L L


: điện cảm rotor.
s
R
: điện trở stator (

).
r
R
: điện trở rotor (

).
s
s
s
L
T
R


: hằng số thời gian stator.
r
r
r
L
T
R

: hằng số thời gian rotor.
2
1
m
sr
L
LL


: hệ số tiêu tán tổng.
P
- Power: công suât của động cơ (W).
p
- Pole couple: sô đôi cư
̣
c của động cơ.
J
: momen quán tính(Kg/m
2
).
L
T

- Torque Load: moment ta
̉
i (Nm).
LVTN Danh sách các chữ viết tắt.
GVHD: PGS. TS. Lê Minh Phương Trang xii HVTH: Trương Minh Triệu
,

: tư

thông (Wb).

: tôc đô
̣
góc của rotor so với stator (rad/s).
a

: tôc đô
̣
góc của 1 hệ bất kỳ (rad/s).
s

: tôc đô
̣
góc của từ thông stator so với stator
()
s sl
  

(rad/s).
r


: tôc đô
̣
góc của từ thông rotor so với stator
()
rs


(rad/s).
sl

: tôc đô
̣
góc của từ thông rotor so với rotor (tốt độ trượt) (rad/s).

: góc của trục rotor (cuộn dây pha A) trong hệ tọa độ

(rad).
s

: góc của trục d (hệ tọa độ quay bất kỳ) trong hệ tọa độ

(rad).
r

: góc của trục d (hệ tọa độ quay bất kỳ) so với trục rotor (rad).
s

: góc của từ thông stator trong hệ tọa độ


(rad).
r

: góc của từ thông rotor trong hệ tọa độ

(rad).

: góc pha giữa điện áp so với dòng điện (rad).
e
T
- Torque electromagnetic: moment điê
̣
n tư

.

,
dq
: hệ tọa độ đứng yên, hệ tọa độ quay.
,,I V f
: dòng điện (A), điện áp (V), tần số (Hz).
,
I

: dòng điện trên hệ tọa độ

.
,dq
I
: dòng điện trên hệ tọa độ

dq
.
s
s
I
: dòng điện của stator được quan sát trên hệ tọa độ

(hệ stator).
r
s
I
: dòng điện của stator được quan sát trên hệ tọa độ
dq
(hệ từ thông rotor).
_,r
I

: dòng điện rotor trên hệ tọa độ

.
_,s d q
I
: dòng điện stator trên hệ tọa độ
dq
.
_,r d q
I
: dòng điện rotor trên hệ tọa độ
dq
.

,
V

: điện áp trên hệ tọa độ

.
,dq
V
: điện áp trên hệ tọa độ
dq
.
I


: ký hiệu là 1 vector.
, , wuv
: các pha của nguồn điện 3 pha.
LVTN Danh sách các bảng.
GVHD: PGS. TS. Lê Minh Phương Trang xiii HVTH: Trương Minh Triệu
DANH SÁCH CÁC BNG

Trang
Bảng 3.1: Điện áp ngõ ra của bộ nghịch lưu 6 khóa 33
Bảng 3.2. Thời gian đóng ngắt của các khóa 35
Bảng 4.1: Các chức năng của bộ quản lý sự kiện 43
Bảng 4.2: Các ngắt trong bộ PIE 55
Bảng 4.3: Chức năng các chân của chip DSP F2812 67
Bảng 4.4: Chức năng các chân trên Port 2 71
Bảng 4.5: Chức năng các chân trên Port 4/7/8 73
Bảng 4.6: Chức năng các chân trên Port 5/9 74

Bảng 4.7: Chức năng các Jumper 7/8/11/12 75




LVTN Danh sách các hình.
GVHD: PGS. TS. Lê Minh Phương Trang xiv HVTH: Trương Minh Triệu
DANH SÁCH CÁC HÌNH

Trang
Hình 3.1. (a) lõi thép stator; (b) lá thép; (c) rãnh chứa dây quấn 05
Hình 3.2. Rãnh ở mặt trong stator 05
Hình 3.3. Sơ đồ bố trí 3 cuộn dây stator đặt trong rãnh 06
Hình 3.4. Vỏ máy và các phụ kiện 06
Hình 3.5. Lõi thép rotor 07
Hình 3.6. Rotor lòng sóc 07
Hình 3.7. Rotor dây quấn 08
Hình 3.8. Động cơ KĐB 3 pha rotor lồng sóc 08
Hình 3.9. Sự hình thành từ trường quay 09
Hình 3.10. Nguyên lý làm việc của động cơ KĐB 3 pha 10
Hình 3.11. Sơ đồ cuộn dây stator của động cơ KĐB 3 pha 12
Hình 3.12. Vector dòng stator
s
i
và hình chiếu của nó 13
Hình 3.13. Biểu diễn vectơ
s
i
trong cả hai hệ trục tọa độ



dq
14
Hình 3.14. Mô hình của động cơ KĐB ba pha rotor lồng sóc 15
Hình 3.15 Sơ đồ các phương pháp điều khiển tốc độ động cơ KĐB 3 pha 22
Hình 3.16: Đặc tính động cơ KĐB khi điều chỉnh điện áp stator 23
Hình 3.17: Mạch điều khiển điện áp pha 23
Hình 3.18: Quan hệ giữa moment và điện áp theo tần số 26
Hình 3.19 Sơ đồ khối phương pháp V/f vòng hở 27
Hình 3.20: Sơ đồ khối phương pháp V/f vòng kín 27
Hình 3.21: Sơ đồ hệ thống điều khiển trực tiếp moment DTC 28
Hình 3.22: Sơ đồ khối cơ bản của phương pháp FOC 29
Hình 3.23: Sơ đồ điều khiển trực tiếp – DRFOC 29
Hình 3.24: Sơ đồ điều khiển gián tiếp – IRFOC 31
Hình 3.25: Sơ đồ bộ nghịch lưu 3 pha 32
LVTN Danh sách các hình.
GVHD: PGS. TS. Lê Minh Phương Trang xv HVTH: Trương Minh Triệu
Hình 3.26: Các vector điện áp chuẩn và các sector 33
Hình 3.27: Điều chế vector 34
Hình 3.28: Giản đồ đóng cắt linh kiện 36
Hình 3.29: Vector Vs trong các vùng từ 0 - 6 37
Hình 4.1: Sơ đồ các khối chức năng 40
Hình 4.2: Sơ đồ tổ chức bộ nhớ 41
Hình 4.3: Sơ đồ tích hợp các chân ngoại vi 42
Hình 4.4: Sơ đồ khối bộ quản lý sự kiện 43
Hình 4.5: Thanh ghi GP timer 45
Hình 4.6: Cách tạo xung PWM 47
Hình 4. 7: Dead time của 2 xung 48
Hình 4.8: Sơ đồ khối của bộ QEP 49
Hình 4.9: Giải mã encoder 50

Hình 4.10: Sơ đồ khối của bộ ADC 51
Hình 4.11: Nguồn xung cấp cho bộ ADC 52
Hình 4.12: Sơ đồ khối của bộ ngắt mở rộng PIE 56
Hình 4.13: Chip DSP F2812 60
Hình 4.14: Sơ đồ khối Kit eZdsp™ 68
Hình 4.15: Kit eZdsp™ 69
Hình 4.16: Vị trí các cổng trên Kit eZdsp™ 69
Hình 4.17: Vị trí các Jumper trên Kit eZdsp™ 74
Hình 5.1: Sơ đồ khối phần cứng của phương pháp FOC 76
Hình 5.2: Lưu đồ giải thuật của phương pháp FOC 77
Hình 5.3: Giải thuật của khối đọc ADC 78
Hình 5.4: Giải thuật của khối đọc Encoder 78
Hình 5.5: Giải thuật của khối PI 79
Hình 5.6: Giải thuật khối tính tốc độ
r

79
Hình 5.7: Giải thuật khối tính Sin
r

, Cos
r

80
Hình 5.8: Giải thuật khối chuyển trục
abc


80
LVTN Danh sách các hình.

GVHD: PGS. TS. Lê Minh Phương Trang xvi HVTH: Trương Minh Triệu
Hình 5.9: Giải thuật khối chuyển trục
dq


81
Hình 5.10: Giải thuật khối chuyển trục
dq


81
Hình 5.11: Giải thuật của khối Space Vector 85
Hình 6.1: Động cơ KĐB 3 pha 86
Hình 6.2: Sơ đồ thiết kế mạch phần cứng 87
Hình 6.3: Mạch nghịch lưu 3 pha 87
Hình 6.4: Cấu trúc MOSFET và IGBT 88
Hình 6.5: IGBT FGL60N100BNTD 88
Hình 6.6: Sơ đồ nguyên lý mạch công suất 89
Hình 6.7: Mạch công suất 89
Hình 6.8: Sơ đồ nguyên lý mạch nguồn DC 90
Hình 6.9: Mạch nguồn DC 90
Hình 6.10: IC HCPL 3120 91
Hình 6.11: Sơ đồ nguyên lý mạch Dead time 91
Hình 6.12: Sơ đồ nguyên lý mạch lái 92
Hình 6.13: Mạch lái + dead time 92
Hình 6.14: Sơ đồ nguyên lý mạch cảm biến dòng 93
Hình 6.15: Mạch cảm biến dòng 93
Hình 6.16: Sơ đồ nguyên lý mạch xử lý tín hiệu cảm biến dòng 94
Hình 6.17: Mạch xử lý tín hiệu cảm biến dòng 94
Hình 6.18: Sơ đồ nguyên lý mạch xử lý tín hiệu encoder 95

Hình 6.19: Mạch xử lý tín hiệu encoder 95
Hình 6.20: Sơ đồ nguyên lý mạch nguồn 96
Hình 6.21: Mạch nguồn 96
Hình 6.22: Sơ đồ nguyên lý mạch hiển thị Led 7 thanh 96
Hình 6.23: Mạch hiển thị Led 7 thanh 97
Hình 6.24: Mô hình 97
Hình 7.1: Dạng sóng điện áp pha ở tốc độ 1000 rpm 98
Hình 7.2: Dạng sóng điện áp dây ở tốc độ 1000 rpm 99
LVTN Danh sách các hình.
GVHD: PGS. TS. Lê Minh Phương Trang xvii HVTH: Trương Minh Triệu
Hình 7.3: Dạng sóng dòng điện ở tốc độ 1000 rpm 99
Hình 7.4: Dạng sóng điện áp pha ở tốc độ 1500 rpm 99
Hình 7.5: Dạng sóng điện áp dây ở tốc độ 1500 rpm 100
Hình 7.6: Dạng sóng dòng điện ở tốc độ 1500 rpm 100
Hình 7.7: Dạng sóng điện áp pha ở tốc độ 2000 rpm 100
Hình 7.8: Dạng sóng điện áp dây ở tốc độ 2000 rpm 101
Hình 7.9: Dạng sóng dòng điện ở tốc độ 2000 rpm 101
Hình 7.10: Dạng sóng điện áp pha ở tốc độ 2500 rpm 101
Hình 7.11: Dạng sóng điện áp dây ở tốc độ 2500 rpm 102
Hình 7.12: Dạng sóng dòng điện ở tốc độ 2500 rpm 102

LVTN Chương 1: Tổng quan.
GVHD: PGS. TS. Lê Minh Phương Trang 1 HVTH: Trương Minh Triệu
Chương 1
TNG QUAN

1.1. Đặt vấn đề.
Ngày nay, ngành công nghiệp là lĩnh vực phát triển với tốc độ rất nhanh, đi kèm
theo đó thì truyền động là khâu không thể thiếu được. Động cơ điện cũng là một
phần không kém phần quan trọng trong khâu truyền động với nhiệm vụ chuyển hóa

điện năng thành cơ năng với những đặc tính cần thiết. Việc điều khiển chính xác để
tạo nên các chuyển động phức tạp đó là nhiệm vụ chính của hệ thống truyền động.
Do tính chất phức tạp nên truyền động điện được xem là một môn khoa học, môn
khoa học ứng dụng các kiến thức của lý thuyết điều khiển tự động, các tiến bộ của
công nghệ vi xử lý… để giúp cho động cơ có được những đặc tính cao hơn để đáp
ứng được các đòi hỏi ngày càng cao của quá trình tự động
Ngày nay, trên thế giới đã phát triển nhiều phương pháp điều khiển động cơ, các
phương pháp từ đơn giản đến phức tạp, từ cổ điển đến hiện đại. Với yêu cầu truyền
động như ngày nay thì các phương pháp điều khiển hiện đại ngày càng được áp
dụng nhiều. Có nhiều phương pháp điều khiển khác nhau, nhưng điểm khác nhau
giữa các phương pháp là hiệu suất và giá thành. Dưới đây là một số phương pháp
điều khiển hiện đại đang được áp dụng trong thực tế:
Phương pháp V/f: là phương pháp điều khiển đơn giản và phổ biến trong các
ứng dụng công nghiệp. Điểm đặc biệt của phương pháp đó là mối quan hệ giữa điện
áp và tần số là một hằng số. Cấu trúc của mạch thì đơn giản và thường sử dụng
dạng không hồi tiếp tốc độ. Tuy nhiên phương pháp này có độ chính xác không cao
trong đáp ứng tốc độ và moment.
Phương pháp FOC: là kỹ thuật được sử dụng phổ biến với hiệu suất cao
trong việc điều khiển động cơ với đặc tính từ thông và moment có thể được điều
khiển độc lập. FOC là phương pháp điều khiển dòng stator chủ yếu dựa vào biên độ
và góc pha của các vector. Điều khiển này cơ bản dựa vào sự tham chiếu về thời
LVTN Chương 1: Tổng quan.
GVHD: PGS. TS. Lê Minh Phương Trang 2 HVTH: Trương Minh Triệu
gian và tốc độ trên hệ trục dq, đây là hệ trục bất biến. Sự tham chiếu này nhằm mục
đích hướng việc khảo sát động cơ KĐB thành việc khảo sát động cơ DC.
Phương pháp DTC: đây là phương pháp điều khiển trực tiếp từ thông stator
và moment, đây cũng là phương pháp có hiệu suất cao. Nội dung của phương pháp
này là dựa trên sai biệt giữa giá trị đặt và giá trị ước lượng từ các khâu tính toán hồi
tiếp về của moment và từ thông. Mặt khác ta có thể điều khiển trực tiếp trạng thái
của bộ nghịch lưu PWM thông qua các tín hiệu điều khiền đóng cắt các khóa công

suất nhằm mục đích giảm sai số moment và từ thông trong phạm vi cho phép.
Với các phương pháp điều khiển như trên, để có thể điều khiển chính xác và có
hiệu suất cao thì cũng cần phải có thiết bị xử lý, tính toán với tốc độ cao. Texas
Instruments đã phát triển thế hệ vi xử lý mới để đáp các yêu cầu đó.
Digital Signal Processing: là một giải pháp cho các hệ truyền động đòi hỏi
tốc độ tính toán, hiệu suất cao, kinh tế, tiết kiệm năng lượng. Kỹ thuật xử lý tín hiệu
số đã giải quyết các thuật toán phức tạp trong điều khiển động cơ KĐB 3 pha với
thời gian thực, chất lượng điều khiển cao.
Để hội nhập và theo kịp với đà phát triển của thế giới. Hiện nay nước ta đã phát
triển nhiều lý thuyết mới cũng như ứng dụng lý thuyết vào thực nghiệm. Cụ thể tại
phòng thí nghiệm Điện tử công suất - Bộ môn Cung cấp điện – Trường ĐH Bách
Khoa Tp. Hồ Chí Minh hiện nay đang có định hướng nghiên cứu về các lĩnh vực:
- Các bộ biến đổi công suất: Inverter, Multilevel Inverter.
- Thiết kế bộ biến tần Inverter, Sofstarter trên cơ sở vi sử lý PIC18F, DSP
TMS320LF2407A, Atmel 8535.
- Hệ truyền động động cơ KĐB theo phương pháp điều khiển V/f, DTC, FOC.
- Công nghệ VHDL –FPGA trong điều khiển các bộ biến đổi công suất.
Trong số các hướng nghiên cứu trên, có một số bài báo, công trình nghiên cứu do
các giảng viên thực hiện đã được công bố trên các tạp chí trong nước và quốc tế.
Hiện nay, các Giảng viên, Giáo viên trong bộ môn cũng đang thực hiện đề tài “Chế
tạo thử nghiệm bộ biến tần giá thành thấp” với chất lượng tốt để phục vụ cho nhu
cầu thị trường.
LVTN Chương 1: Tổng quan.
GVHD: PGS. TS. Lê Minh Phương Trang 3 HVTH: Trương Minh Triệu
Việc nghiên cứu chế tạo thử nghiệm bộ biến tần giá thành thấp tích hợp các thuật
toán điều khiển mới sẽ mở ra khả năng liên kết và giúp đẩy mạnh phát triển nhiều
lãnh vực trong nước như điện tử công suất, tự động điều khiển, máy điện và hệ
thống nguồn năng lượng.
Mục tiêu của đề tài nhằm giải quyết vấn đề cốt lõi là “Chế tạo thử nghiệm bộ
biến tần 3 pha giá thành thấp“ để phục vụ cho nhu cầu điều khiển vận tốc động cơ

KĐB 3 pha hiện nay. Việc nghiên cứu chế tạo thử nghiệm bộ biến tần giá thành
thấp nhằm mục đích làm chủ quy trình thiết kế biến tần gồm khâu tạo card điều
khiển, thiết kế card công suất và khâu lập trình điều khiển theo giải thuật yêu cầu.
1.2. Định hướng của đề tài.
Với một số các ưu điểm kể trên của DSP trong điều khiển động cơ KĐB 3 pha,
cộng thêm mong muốn được tìm hiểu và áp dụng các kỹ thuật điều khiển hiện đại
động cơ KĐB 3 pha cho các hệ truyền động. Do đó học viên thực hiện luận văn
quyết định thực hiện đề tài: “Điều khiển động cơ KĐB 3 pha bằng phương pháp
FOC trên cơ sở DSP TMS320F2812”.
1.3. Nhim v luận văn.
Tìm hiểu các phương pháp điều khiển động cơ KĐB 3 pha.
Tìm hiểu các trúc phần cứng và tập lệnh của DSP TMS320F2812.
Lập trình điều khiển hệ truyền động động cơ KĐB 3 pha trên cơ sở DSP
TMS320F2812 theo phương pháp tựa từ thông FOC (Field Orientated Control.).
Thiết kế phần cứng hệ truyền động động cơ KĐB 3 pha.
1.4. Kt qu mong muốn đt đưc.
Thiết kế phần cứng hệ truyền động động cơ KĐB 3 pha.
Xây dựng giải thuật lập trình và lập trình điều khiển động cơ trên DSP
TMS320F2812 theo phương pháp FOC.
LVTN Chương 2: Cơ sở lý thuyết.
GVHD: PGS. TS. Lê Minh Phương Trang 4 HVTH: Trương Minh Triệu
Chương 2
C S Lụ THUYT

Mục đích của luận văn là thiết kế bộ biến tần 3 pha để điều khiển động cơ KĐB 3
pha bằng phương pháp FOC, phương pháp này cho phép điều khiển tách rời việc
điều khiển từ thông và moment, đưa việc điều khiển động cơ KĐB giống như việc
điều động cơ DC với sự hổ trợ của vi xử lý DSP TMS320F2812. Vì thế luận văn
cần có các lý thuyết sau:
- Lý thuyết về động cơ KĐB 3 pha bao gồm: cấu tạo, nguyên lý hoạt động, các

phương pháp điều khiển từ cổ điển đến hiện đại.
- Lý thuyết về vi xử lý DSP bao gồm: cấu trúc, ngôn ngữ lập trình và các chức
năng hổ trợ cho công việc điều khiển động cơ.
- Lý thuyết về truyền động điện, bộ biến tần.
LVTN Chương 3: Động cơ KĐB và các PP điều khiển.
GVHD: PGS. TS. Lê Minh Phương Trang 5 HVTH: Trương Minh Triệu
Chương 3
ĐNG C KHÔNG ĐNG B 3 PHA VÀ
CÁC PHNG PHÁP ĐIU KHIN

3.1. Tng quan về động cơ KĐB 3 pha.
3.1.1. Cấu to.
 pha 
khí.
3.1.1.1. Stator.

Lõi thép: 




a)
b)
c)

H.






.
Rãnh kín Rãnh  Rãnh hình thang 
LVTN Chương 3: Động cơ KĐB và các PP điều khiển.
GVHD: PGS. TS. Lê Minh Phương Trang 6 HVTH: Trương Minh Triệu
Dây quấn stator: 

 
gian 120
o


a)
b)
Pha U
Pha V
Pha W

Hình 3.3.  .
Vỏ máy: 
 


.

a) b)
N p y
y
Stator
Rotor


Hình 3.4. 
3.1.1.2. Rotor.
 
Lõi thép: lõi thép rotor 
các  
LVTN Chương 3: Động cơ KĐB và các PP điều khiển.
GVHD: PGS. TS. Lê Minh Phương Trang 7 HVTH: Trương Minh Triệu

Rãnh
đặt dây
quấn
Lỗ
lắp
trục

Hình 3.5. Lõi thép rotor.
Trc rotor: , 





Dây quấn:  r
dây.
Rotor lng sóc:            

3.6.

moment (














) 












 

.


Rotor quấn dây: 





.
LVTN Chương 3: Động cơ KĐB và các PP điều khiển.
GVHD: PGS. TS. Lê Minh Phương Trang 8 HVTH: Trương Minh Triệu

Hình 3.7. Rotor dây q.
3.1.1.3. Khe h không khí.
 .  ,  (0,2  1
mm)   rotor


Hình 3.8. .
 pha rot :
Động cơ rotor lng sóc 
Động cơ rotor quấn dây 


3.1.2. Nguyên lý hot động của động cơ KĐB 3 pha.
 
dây AX, BY và CZ.
, 
3 pha (i
su
, i
sv

, i
sw


1
60. f
n
p

(vòng/phút) (3.1)
LVTN Chương 3: Động cơ KĐB và các PP điều khiển.
GVHD: PGS. TS. Lê Minh Phương Trang 9 HVTH: Trương Minh Triệu

Hình 3.9. t.
 (n
1
) quét qua 1

2

2

 
2
      (hình 3.10a   
2
   
         
,
tnày 

2
10b
  1 
1


2
):
21
n n n
(3.2)

21
11
n n n
s
nn


(3.3)

LVTN Chương 3: Động cơ KĐB và các PP điều khiển.
GVHD: PGS. TS. Lê Minh Phương Trang 10 HVTH: Trương Minh Triệu
stator
i
2





n
1

rotor
e
2
stator
i
2

F
F
n
2

n
1

rotor
e
2

 3 pha.
3.1.3. ng dng của động cơ KĐB và kh năng thay th động cơ DC.



 





 





kW. : , c cho

, 
    ,        
 
LVTN Chương 3: Động cơ KĐB và các PP điều khiển.
GVHD: PGS. TS. Lê Minh Phương Trang 11 HVTH: Trương Minh Triệu
          






kmoment hoàn


thông và moment 










  
      moment,
 






×