Tải bản đầy đủ (.pdf) (133 trang)

NGHIÊN cứu GIẢI PHÁP PHẦN CỨNG TIẾT KIỆM NĂNG LƯỢNG TRONG TRUNG tâm dữ LIỆU

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (3.91 MB, 133 trang )

I HC BÁCH KHOA HÀ NI
VIN T VIN THÔNG
====o0o====




TT NGHI
 TÀI:
NGHIÊN CU GII PHÁP PHN CNG TIT
KING TRONG TRUNG TÂM
D LIU









Sinh viên thc hin

NGUYN DUY LINH


Lp KSTN- - K52
Ging dn
: TS TRN NGC LAN
TS. TRN MINH TRUNG
TS. NGUY


 06-2012
I HC BÁCH KHOA HÀ NI
VIN T VIN THÔNG
====o0o====




TT NGHI
 TÀI:
NGHIÊN CU GII PHÁP PHN CNG TIT
KING TRONG TRUNG TÂM
D LIU









Sinh viên thc hin

NGUYN DUY LINH


Lp KSTN- - K52
Ging dn
: TS TRN NGC LAN

TS. TRN MINH TRUNG
TS. NGUY

:
 06-2012
B GIÁO DO

CNG HÒA XÃ HÔI CH T NAM
I HC BÁCH KHOA HÀ NI


Độc lập - Tự do - Hạnh phúc

NHIM V  ÁN TT NGHIP
H và tên sinh viên:  S hiu sinh viên: 20072451
Nguyn Duy Linh S hiu sinh viên: 20071721
 S hiu sinh viên: 20073425
Khoá: K52 Vin: n t - Vin thông Ngành: n t-Vin thông
1. Đầu đề đồ án:


2. Các số liệu và dữ liệu ban đầu:



3. Nội dung các phần thuyết minh và tính toán:





4. Các bản vẽ, đồ thị ( ghi rõ các loại và kích thước bản vẽ ):



5. Họ tên giảng viên hướng dẫn: TS. Trn Ngc Lan
TS. Trn Minh Trung
TS. Nguy
6. Ngày giao nhiệm vụ đồ án: ………………………………………………….……………
7. Ngày hoàn thành đồ án: 

Ngày tháng năm
Ch nhim B môn
Ging dn

 án tt nghi
Cán b phn bin

B GIÁO DO
I HC BÁCH KHOA HÀ NI

BN NH ÁN TT NGHIP
H và tên sinh viên: S hiu sinh viên:
Ngành: Khoá:
Ging dn:
Cán b phn bin:

1. Ni dung thit k tt nghip:











2. Nhn xét ca cán b phn bin:










Cán b phn bin
( Ký, ghi rõ h và tên )
ĐỒ ÁN TỐT NGHIỆP

2012


NETFPGA GROUP-KSTN-ĐTVT-K52

i

LU

Ngày nay, các trung tâm d ling trong các hong
ng ngày ca các t ch  ng hc, doanh nghip, vin nghiên cu.
Nhng trung tâm d liu này cùng vi s phát trin không ngng ca xã h
 c quy mô và s ng. S ng máy ch trong mi trung
tâm d li ng nhu cu trao i thông tin
mi trong xã h   u tt yu là s ng các thit b chuyn
m kt ni các máy ch li vu này làm cho nhu
cu v  bi các trung tâm d li l vi kích
c ct ra cho các nhà nghiên cu và các
nhà phát trin là làm sao có th gim t ca các trung
tâm d liu này mà kh  lý thông tin vm bo. T yêu cu thc t
trên, nhóm tác gi u và ch to thành công thit b u khin giúp tit
king cho các b chuyn mch trong trung tâm d li
to thành công các b chuyn mch có kh  tit king. Nhng kt
qu  ra mi rt kh thi cho vic phát trin, sn
xui trà các thit b mng tit kin lý
trung tâm d liu theo dõi công sut tiêu th ca toàn trung tâm d liu, mc tit
king.
Sau mt thng mit mài, nhóm tác gi  án vi
 tài:“Nghiên cu gii pháp phn cng tit ki ng cho các trung
tâm d liu”
Nh nhng c gng không ngng, tác gi  c mt s kt qu
nhnh. Mc dù vy, do thi gian có hn nên mt s ng vc thc
hin và kt qu nghiên cu không tránh khi mt vài sai sót nh. Vì vy, tác gi rt
mong nhc ý kia các thy cô giáo và bn bè.
Tác gi c gi li cc ti:
 Cô giáo TS. Trn Ngc Lan
 Thy giáo TS. Trn Minh Trung
ĐỒ ÁN TỐT NGHIỆP


2012


NETFPGA GROUP-KSTN-ĐTVT-K52

ii

 Thy giáo TS. Nguy
 Thy giáo TS. Phm Ngc Nam
 Thy giáo PGS.TS. Nguyn Hu Thanh
 
 Các bn K51, K52, K53 trong nhóm OpenFlow
 Tp th lp KSTN--K52
 Vin n T - Vii
 Cùng toàn th n bè
 và to mu kin thun li cho tác gi trong quá trình nghiên cu.
 c thc hin trong khuôn kh ca d 
th ca m   d liu d     ng (ECODANE 
PGS.TS. Nguyn Hu Thanh ch trì.
Sinh viên thực hiện: 
NGUYN DUY LINH

ĐỒ ÁN TỐT NGHIỆP

2012


NETFPGA GROUP-KSTN-ĐTVT-K52

iii


TÓM T ÁN
“Nghiên cu gii pháp phn cng tit king cho các trung tâm d
liu”
Trung tâm d lit vai trò quan trng trong các hong
ngày ca mi. S m rng không ngng c v phm vi và quy mô ca các
trung tâm d liu dn thc trng mc tiêu th ng quá ln. Các nh
ng cu này không ch v giá c mà còn v c
hi thi ra t các trung tâm d liu là mt v  r    t trong
nhng giu khin mt cách thông minh vic tiêu th
 ng ca các b chuyn m c s dng trong các trung tâm d liu.
Nhóm tác gi thc hi       i tin cho các b chuyn mch
OpenFlow nhm m tr các ch  tit king khác nhau. S ci
tin này bao gn tin mi theo chun giao thc OpenFlow, thit
k b u khin các chuyn mch OpenFlow (OSC) có kh  t tt các b
chuyn ma, nhóm tác gi p các ci tin này lên
chính các b chuyn mch OpenFlow trên nn tng phn cng kh trình NetFPGA
trong khuôn kh d án ECODANE[1]. Các kt qu  án này
có th c s dng bi các nhà sn xut chuyn mch OpenFlow hoc nhng nhà
nghiên cu v ng tiêu th ca các thit b mng.

ĐỒ ÁN TỐT NGHIỆP

2012


NETFPGA GROUP-KSTN-ĐTVT-K52

iv


ABSTRACT
“Reseaching hardware solution for energy saving in Data centers”
Data centers play an important role in our daily activities. The increasing
demand for data centers in both scale and size has led to huge energy consumption.
The cost and environmental impact of data centers increases due to large amounts
of carbon emissions. One solution to this problem is to intelligently control the
power consumption of switches used in data centers. This thesis proposes an
extension to OpenFlow switches to support different power saving modes. The
extension includes defining new messages in OpenFlow standard and designing an
OpenFlow Switch Controller (OSC) that is able to turn on/off switches and
disable/enable ports. Furthermore, the extension is integrated into the NetFPGA
based OpenFlow switches in the ECODANE[1] framework. That brings an
opportunity of producing self-power aware OpenFlow switches. The results
presented in this thesis can aslo be used by the OpenFlow compliant switches
manufacturer or by power aware research community.

ĐỒ ÁN TỐT NGHIỆP

2012


NETFPGA GROUP-KSTN-ĐTVT-K52

v

MC LC
 i
 iii
ABSTRACT iv
 v

 ix
 xi
 xii
 1

 2
1.1   2
1.2  6
1.2.1  6
1.2.2  7
1.2.3  9
1.2.4  11
1.3  13

NetFPGA 15
2.1  15
2.1.1  15
2.1.2  16
2.1.3  17
2.1.4  21
2.1.5  23
2.2  24
ĐỒ ÁN TỐT NGHIỆP

2012


NETFPGA GROUP-KSTN-ĐTVT-K52

vi


2.2.1  24
2.2.2  26
2.2.3  30
2.2.4  34
2.3  38

 39
3.1  39
3.2 NOX controller 40
3.3  44
3.3.1  44
3.3.2  49
3.3.3  50
3.3.4  52
3.4  55
 56
4.1   56
4.2  57
4.3  58
4.3.1  58
4.3.2  59
4.3.3  61
4.3.4  62
4.3.5  62
4.4  64
4.4.1  64
4.4.2  67
4.4.3  68
ĐỒ ÁN TỐT NGHIỆP


2012


NETFPGA GROUP-KSTN-ĐTVT-K52

vii

4.4.4  71
4.5  78
 79
5.1  79
5.2  81
5.2.1  81
5.2.2  83
5.2.3  86
5.2.4  88
5.3  90
5.3.1  90
5.3.2  91
5.3.3  93
5.4  95
 96
6.1  96
6.2  99
6.2.1  99
6.2.2  100
6.2.3  101
6.3  104
6.3.1  104

6.3.2  105
6.3.3  105
6.4  105
 107
 108
 110
ĐỒ ÁN TỐT NGHIỆP

2012


NETFPGA GROUP-KSTN-ĐTVT-K52

viii

 110
 114


ĐỒ ÁN TỐT NGHIỆP

2012


NETFPGA GROUP-KSTN-ĐTVT-K52

ix

DANH MC HÌNH V
Hình 1.1  8

Hình 1.2 Ví d v tp lnh ca OpenFlow 10
Hình 2.1 Cu trúc ca FPGA 17
Hình 2.2 Cu trúc ca Slice 18
Hình 2.3 Minh ha bng LUT 19
Hình 2.4 Minh ha các Flip-Flop 19
Hình 2.5 Design flow 21
Hình 2.6 Giao din phn mm ISE 23
Hình 2.7 Cu trúc ca mt OpenFlow Switch 24
Hình 2.8 Cu to ca mt flow-entry 25
c khi mt flow mi ti b chuyn mch OpenFlow 26
Hình 2.10 Nn tng NetFPGA 27
 khi chi tit các thành phn trong kit NetFPGA 28
Hình 2.12 Cn mt d án vi NetFPGA 29
Hình 2.13 Cu trúc pipeline ca các modules 29
 tng quát ca h thng NetFPGA 30
Hình 2.15 Mô hình Pipeline áp dng cho vic thit k phn cng mng 35
 hong ca khi Output Port Lookup 36
 khi mô hình Elastic Tree 39
Hình 3.2 Mng OpenFlow switch vi b u khin mng NOX 41
 mô t v trí ca OSC trong mng chuyn mch 57
Hình 4.2 Mn 57
 ca chuyn mc và sau khi có OSC 58
 khn ca OSC 59
 khi chi tit ca OSC 59
 nguyên lý mch OSC 63
Hình 4.7 Phn cng ca OpenFlow switch controller 63
Hình 4.8 Quy trình bt tay gia b u khin và b chuyn mch OpenFlow 68
Hình 4.9 Các giao thc s dng trong lwIP 70
ĐỒ ÁN TỐT NGHIỆP


2012


NETFPGA GROUP-KSTN-ĐTVT-K52

x

ng link state 73
ng Switch state 74
ng Line Card state 76
 thut toán ca OSC 77
 h thng clock ca chuyn mch OpenFlow 83
 v ng ca CC trong nf2_top 85
 khi CC trong user data path 86
 ghép ni CC vi các khi khác trong user data path 87
 khi thit k ca CC 88
 mn 90
 h thng b u khin và b chuyn mch OpenFlow 91
 h thng Testbed cho OSC 99
Hình 6.2 H thng Testbed thc t cho OSC 99
 h thng testbed cho chuyn mch OpenFlow mi 104
Hình 6.4 H thng testbed chuyn mch OpenFlow trong thc t 104
 kt ni chuyn mch - NIC cho h thng testbed 105


ĐỒ ÁN TỐT NGHIỆP

2012



NETFPGA GROUP-KSTN-ĐTVT-K52

xi

DANH MC BNG BIU
Bng 1.1: Công sut tiêu th ca switch  các cu hình khác nhau 5
Bng 4.1 Bng tng hp công sut ca các thành phn 62
Bm ca mt s mã ngun m TCP/IP stack 69
Bng 4.3 Bn tin OFPT_PORT_MOD 72
Bng trong bn tin OFPT_PORT_MOD 72
Bng trong bn tin OFPT_SWITCH_MOD 74
Bng 4.6 Bn tin OFPT_SWITCH_MOD 74
Bng 4.7 Bn tin OFPT_LINECARD_MOD 75
Bng trong bn tin OFPT_LINECARD_MOD 75
Bng 5.1 Các hàm x lý gói tin 93
Bu khin phn cng NetFPGA 94
Bng 6.1 Bng tiêu th ca OSC khi không có kt ni nào b ngt 103
Bng 6.2 Bng tiêu th ca OSC theo s ng kt ni b ngt 103


ĐỒ ÁN TỐT NGHIỆP

2012


NETFPGA GROUP-KSTN-ĐTVT-K52

xii

CÁC THUT NG VIT TT

Từ viết tắt
Thuật ngữ tiếng anh
Thuật ngữ tiếng việt
CC
Clock Controller
Bộ điều khiển tín hiệu Clock
CLB
Configurable Logic Block
Khối logic có thể lập trình được
DCM
Digital Clock Manager
Khối quản lý xung đồng hồ
DRAM
Dynamic Random Access Memory
Bộ nhớ truy cập ngẫu nhiên
động
FPGA
Field Programmable Gate Array
Mảng cổng khả trình
IOB
Input Output Block
Khối vào ra
MAC
Media Access Control
Điều khiển truy nhập thiết bị
NCD
Native Circuit Description
Phần mở rộng của file thiết kế
OF SW
OpenFlow Switch

Bộ chuyển mạch OpenFlow
OSC
OpenFlow Switch Controller
Mạch điều khiển các bộ chuyển
mạch OpenFlow
PCF
Physical Constraint File
Phần mở rộng của file ràng
buộc vật lý
PCI
Peripheral Component Inter-
connect
Kết nối thành phần ngoại vi
PLD
Programmable Logic Device
Thiết bị logic có thể lập trình
SDN
Software-Defined Network
Mạng điều khiển bằng phần
mềm
SRAM
Static Random Access Memory
Bộ nhớ truy cập ngẫu nhiên
tĩnh
VCD
Value Change Dump
Phần mở rộng của file mô
phỏng
ĐỒ ÁN TỐT NGHIỆP


2012


NETFPGA GROUP-KSTN-ĐTVT-K52

1

PHN M U
 án này, tác gi s tp trung gii quyt các v chính sau: Mô t
cu trúc ca chuyn mch OpenFlow; xây dng mng OpenFlow trên nn tng
NetFPGA; các gii pháp tit king cho các b chuyn mch OpenFlow;
c trên thc t .
Nội dung của đồ án được chia thành sáu chương, gồm ba phần chính:
Phần “Tìm hiểu thực trạng và giải pháp mạng OpenFlow” gồm hai chương:
 : Nêu thc trng v v ng trong các trung tâm d liu
và gii pháp s dng mng OpenFlow c hin.
 : Tìm hiu mt cách tng quát công ngh mch t hp FPGA, v
b chuyn mch OpenFlow trên nn tng phn cng N
do Nguyc hin.
Phần “Nghiên cứu giải pháp tiết kiệm năng lượng trên thực tế” gồm ba chương:
  : Trin khai h thng mng OpenFlow trên nn tng NetFPGA
trong thc t phòng thí nghim do Nguyn Duy Linh thc
hin.
 : Thit k b u khin chuyn mch OpenFlow da trên thc t
h thc
hin.
 : Thit k chuyn mch OpenFlow tit king và thc
hin trên các b chuyn mch thc t n khai.
Phần “Đo đạc, kiểm thử và đánh giá kết quả đạt đươc” gồm một chương:
 : Trin khai h thng mi vi các thit b c tc và

t qu trên thc t phòng thí nghim.
ĐỒ ÁN TỐT NGHIỆP
CHƯƠNG 1


NETFPGA GROUP-KSTN-ĐTVT-K52

2

 V tit king trong trung
tâm d liu và gii pháp s dng mng OpenFlow
Sinh viên: Lê Anh Văn
 s gii thích nhu cu tit king cho các trung tâm d
ling thi, mt gii pháp hoàn toàn m  ra da
vào công ngh mng mc phát trin rt nhanh chóng trong thi gian gn
ó là mu khin bng phn mm da trên giao thc OpenFlow.
Các khái nit v mng này cùng giao
thc OpenFlow s i c có mt cái nhìn tng quan v m án
ng ti; cùng vng phát trin ca m
1.1 V tit king cho trung tâm d liu
Có th nói rng hin nay các trung tâm d lin mng
khng l  duy trì hong ca nó.Theo mt nghiên cu cho thy rng chi phí
ng chim ti 44% chi phí hong ca trung tâm d ling tiêu
th  ng cho các server, các thit b mng, cho h thng
làm lnh, line-cards, switching fabric, các h thng theo dõi và các h thng ph tr
khác. Nhng tìm hiu v  ng trong m  c tham
kho trong bài báo Energy Aware Network Operations” [2], ch  c n mô
ng tiêu th ca switch và mt ch  c nhiu nhà phát trin
i pháp tit king tiêu th trong mng trung tâm d
liu.

Các switch và router hin ti không cho ta bi các thông s 
ng tiêu th ca chúng. Datasheet ca các thit b này ch cho bit giá tr công
sut hong t Giá tr   hing tiêu th
tht ca các thit b mng tiêu th tht s ca các switch/router ph
thuc vào rt nhiu yu t u hình ca thit b ng ti mà thit b cn x
 da vào công sut tiêu th t không th c
ĐỒ ÁN TỐT NGHIỆP
CHƯƠNG 1


NETFPGA GROUP-KSTN-ĐTVT-K52

3

ng tiêu th tht. Cu hình thit b         nh
n công sut tiêu th ca các thit b mng.
Mi mu cha nhiu thành phn cu t 
chassis, linecard, TCAM (Ternary Content Addressable Memory), RAM, processor,
qum mt chassis (có th hiu là b khung ca
switch) cha các slot cm các linecard, mi linecard cha nhiu port (cng mng)
chính là các cng giao tip ca switch/router vi các switch/router khác hoc vi
các máy tính khác. Vi ng tiêu th ca tt c các thành phn
trong switch mt cách toàn din r thc hiu t quan
trng n công sut tiêu th ca switch/router:
 Công sut tiêu th ca chassis: Các switch hia mt chassis
và mt s ng c nh các khe c ci
vi các switch hi     thông vi 24 cng tr
xuc gn c nh, không th i. Trong c
hai ng hp, công sut tiêu th n ca chassis là tng công sut tiêu
th ca mt s thành phn khác nhau c    t,


 S ng linecard: s ng cng ca mt linecard và tng thông
tin mà nó có th x lý là có hn cm và rút các linecard trên các khe
slot ca switch cho phép các nhà qun lý m  ng cm s ng
linecard cn thing nhu cu v t 
u la chn cho vic cm loi linecard phù hp, ví d m mt
linecard 24 cng  có kh  ng 24Gbps, hay cm
mt linecard 4 cng  có kh  ng 40 Gbps.
 S ng active cng: thut ng  cp ti tng s cng trên switch (ca
tt c các linecard)  trng thái ho ng (active). Các cng còn li trên
c tn câu lnh h tr tt b nhà
sn xut.
 Công sut x lý ta mt cng (port capacity) hay t hong ti
a mt cng: ta có th i thông s công sut x lý ta mi
ĐỒ ÁN TỐT NGHIỆP
CHƯƠNG 1


NETFPGA GROUP-KSTN-ĐTVT-K52

4

cng  gii hn t x lý thông tin ca cng , công sut x lý
ta mt cng full-duplex 1Gbps có th c cu hình xung 100Mbps
hay 10Mbps. Vii công sut x lý ti  
ng tiêu th nói chung ca switch. Khi gim công sut x lý t
ng tiêu th ca cng s gim, dng tiêu th ca c switch
nói chung gim theo.
 Hiu sut s dng ca cng (port utilization): thut ng này mô t thông
ng tht chy qua mt cng so vi công sut x lý ta cng 

d ng hp công sut x lý ta mt cng là 100Mbps, thông
ng d licng u sut s dng ca cng
lization) là 10%. Ph thuc vào hiu sut s dng ca cng
mà ta có th thit lp các giá tr công sut x lý ta cng t cách
phù hp. Ví d, nu mt cng phi x 
thit lp công sut x lý ta switch là  có hiu qu s
dng mt cách tt nht.
 TCAM: hu ht các switch thc hin vic phân loi packet trên phn cng và
hu ht các nhà sn xut s d thc hin chi
gian x lý tìm kim ca TCAM là rt nhanh. Tuy nhiên, TCAM tiêu th mt
ng công sut l
nhau.
 Firmware: các nhà sn xut cp nhnh
k. Các phiên b ng ti công sut
tiêu th ca switch/router.
          i cng    nh
ng ti công sut tiêu th ca cng   c tính quan trng nht c 
ng ca mc mi gói tin và khong thi gian gia hai gói tin
liên tip.

ĐỒ ÁN TỐT NGHIỆP
CHƯƠNG 1


NETFPGA GROUP-KSTN-ĐTVT-K52

5

Bng 1.1: Công sut tiêu th ca switch  các cu hình khác nhau
Cofiguration

Rack switch (in Watts )
Tier-2 switch (in Watts )



146
54



0
(include in chassis power)
39



0.12
0.42



0.18
0.48



0.87
0.9



là công sut tiêu th ca switch  tr 
qua, tuy nhiên switch v trng thái bt (idle).


là công sut tiêu th ca mng qua nó.
Nói cách khác, các cng ca line card không phi x lý bt c ng nào.


là s linecard ca switch




là công sut tiêu th ca mt cng chy  t i.



là s cng chy  t i.
i: có th có các giá tr 10Mbps, 100 Mbps hay 1Gbps.
Qua Bng 1.1 [2] ta thi vng công sut
x lý thc gn c c
nên công sut tiêu th ca chassis chính là công sut tiêu th n và c nh ca
c bt mà không x lý bt c mng nào, công
sut tiêu th cc bao gm trong công sut tiêu th ca chassis.
Mi vi các chuyn mch tng hai có công sut x  ng
các linecard có th  i bng cách cm/rút các linecard vào/ra các slot, nên
không th tính gp vào công sut tiêu th cng hp này, mi
c cm thêm vào, công sut tiêu th ca switch s  39W. Tuy
nhiên, trong c ng hp ta có th thy công sut tiêu th ca các cng trên
ĐỒ ÁN TỐT NGHIỆP

CHƯƠNG 1


NETFPGA GROUP-KSTN-ĐTVT-K52

6

switch ph thuc vào cu hình t hong ca các cng  hong
t tiêu th ca cng 
Ngoài ra, ta có th quan sát thy công sut tiêu th ca chassis chim phn
ln công sut tiêu th ca switch, nên vic tt các switch không s dng (không có
     mang li li ích ln nht. Ly ví d mt  ng 24
cng tiêu th 146W, trong khi công sut tiêu th
tng ti cng t  t hong 1Gbps),
công sut tiêu th ca switch s là: 146+0.87*24=167W. Nu ta không tt switch
 luôn tiêu th mng công sut nn rt
ln: 146W.
Dc tính tiêu th ng ca các switch, phn tip theo s trình
bày mt s k thuc dùng trong tit king tiêu th ca các thit b
mng trong trung tâm d liu.
1.2 Gii pháp s dng mng OpenFlow
1.2.1 S cn thit cho mt kin trúc mng mi
S bùng n ca các thit b và nng, o hóa máy ch, và s ra
i ca dch v     là mt trong nh  ng thúc y các
ngành công nghip mng xem xét li kin trúc mng truyn thng. Hu ht các
mng u theo kin trúc phân cp, c xây dng vi các tng ca
thit b chuyn mch Ethernet c sp xp theo cu trúc cây. Thit k này thc s
hiu qu khi mô hình tính toán client-server chi,  kin trúc c nh
n vy không thích hp vi yêu cng và nhu cu 
tr d liu ngày nay ti các trung tâm d liu ca doanh nghipng hc, và

ng ca các nhà cung cp dch v. Mt trong s nhng tính
toán quan trng dn ti yêu c mt mô hình mng mi bao
gm:
 S ng.
 i dùng CNTT (Công ngh thông tin).
ĐỒ ÁN TỐT NGHIỆP
CHƯƠNG 1


NETFPGA GROUP-KSTN-ĐTVT-K52

7

 S phát trin ca các dch v .
  liu lu nhi.
1.2.2 Mc tiêu ca mng OpenFlow
 ng yêu cu th ng hin nay là h    vi kin trúc
mng truyn thngi mt vi ngân sách i hoc gim, các doanh nghip
ngành CNTT  gng  tn dng t ng ca h co th 
ng bng cách s dng các công c qun lý  mc thit b và các quy trình th
công. Các hãng cung cp dch v phi mt vi nhng thách thc 
 yêu cu v s bùng n , li nhun  b xói
mòn bi chi phí vn cho thit b leo thang và doanh thu không tang hoc suy gim.
Kin trúc mng hin ti c thit k  ng các yêu cu ngày nay ca
i s dng, doanh nghip, và nhà cung cp dch v, nhng hn ch ca mng
hin ti bao gm:
  phc tp cao gây tc nghn
 Chính sng nht
 Kh 
 Ph thuc vào nhà cung cp thit b

 
-Defined Networking)
            






Hình 1.1 SDN[3]. 

ĐỒ ÁN TỐT NGHIỆP
CHƯƠNG 1


NETFPGA GROUP-KSTN-ĐTVT-K52

8


là m


 



Hình 1.1 
ng nht, nhà khai thác mng và qun tr viên có th trình cu
hình mc tri vic phi lp trình hàng chc

ngàn dòng cu hình phân tán trong s hàng ngàn các thit b m. Ngoài ra,
tn dc tp trung trong ti b u khin SDN, i qun tr
có th i hong mng theo thi gian thc, trin khai các ng dng và dch
v mi mng trong vài gi hoc vài ngày, ch không còn là vài tun hoc vài tháng
 n nay. Bng cách thu thp tình trng ca mng trong các l u khin
(control layer), SDN cung cp cho nhà qun lý m
ĐỒ ÁN TỐT NGHIỆP
CHƯƠNG 1


NETFPGA GROUP-KSTN-ĐTVT-K52

9

cu hình, qun lý, bo mt, và tng thông qua các phn mm
t ng SDN.
a, các nhà qun lý có th t vii
ch i các nhà cung cp s n phm ca h kèm
theo các công c phn mc quyn .Ngoài vic trng hóa mng, kin trúc
SDN h tr mt tp hp các hàm API cho phép thc hin các dch v mng thông
ng, bao gnh tuyn, multicast, an ninh, kim soát truy cp, qu
thông, qun lý traffic, chng, dch v, t vi x , s
dng, và các hình thc qun lý chính sách, tùy chnh cho phù h
ng các mc tiêu kinh doanh. Ví d, kin  
thc thi chính sách nht quán mt cách d dàng cho c kt ni có dây và không dây
ng.
 y, SDN cho phép qun lý toàn b mng thông qua h thn
d ng b u
các API m  y vic qup, m ra cánh ca cho các tính
 tài nguyên theo yêu cu, self-service provisioning, mng o hóa thc

s, và các dch v 
y, vi các hàm API m nm gia b u khin SDN và các lp ng
dng, các ng dng thc t có th hong trên lp trng ca mng,tn dng
các dch v và kh a mng mà không b ràng buc vào các chi tit khi thc
hin. SDN làm cho mng không còn phi "application-application-
customized" và các ng d   n thit "network-aware n mc
"network-capability-aware". Kt qu là, t vic tính toán, tài nguyên mng
có th c t
1.2.3 Giao thc OpenFlow
OpenFlow 
OpenFlow 



×