Tải bản đầy đủ (.pdf) (60 trang)

Thiết kế ALU 8bit

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (1.6 MB, 60 trang )

ĐẠI HỌC THÁI NGUYÊN
TRƯỜNG ĐẠI HỌC KỸ THUẬT CÔNG NGHIỆP
---------- oOo ----------

TÀI LIỆU THÍ NGHIỆM
HỌC PHẦN: THÍ NGHIỆM CHUYÊN NGÀNH
ĐIỆN - ĐIỆN TỬ - SPKT
BỘ MÔN: KỸ THUẬT MÁY TÍNH

THÁI NGUYÊN, 2011


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

2

Biên soạn:
Th.S Nguyễn Tuấn Anh
Th.S Tăng Cẩm Nhung
Th.S Nguyễn Tuấn Linh

TÀI LIỆU THÍ NGHIỆM
HỌC PHẦN:

THÍ NGHIỆM CHUYÊN NGÀNH
ĐIỆN - ĐIỆN TỬ - SPKT

MÃ SỐ HỌC PHẦN:
SỐ TÍN CHỈ:

Trưởng bộ môn Kỹ thuật máy tính



Trưởng khoa Điện tử

Th.S Nguyễn Tuấn Linh

Nguyễn Duy Cương


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

3

MỤC LỤC
BÀI: 1 Thiết kế ALU 8bit .................................................................................................. 5
Phần I:
THÍ NGHIỆM ................................................................................................ 6
1.1
Mục đích thí nghiệm........................................................................................... 6
1.2
Cơ sở lý thuyết.................................................................................................... 7
1.2.1
Kiến thức nền.................................................................................................. 7
1.2.2
Thiết kế ALU.................................................................................................. 7
1.3
Thí nghiệm.......................................................................................................... 8
1.3.1
Nội quy an toàn thí nghiệm ............................................................................ 8
1.3.2
Nội dung bài thí nghiệm ................................................................................. 8

1.3.3
Phương pháp và cách thức thí nghiệm ........................................................... 8
1.3.3.1 Thiết bị và dụng cụ thí nghiệm....................................................................... 8
1.3.3.2 Phân nhóm thí nghiệm.................................................................................... 8
1.3.3.3 Cách thức tiến hành thí nghiệm ...................................................................... 8
1.4
Thí nghiệm........................................................................................................ 24
Phần II: VIẾT BÁO CÁO THÍ NGHIỆM ................................................................. 25
2.1
Qui định chung ................................................................................................. 25
2.2
Nội dung báo cáo.............................................................................................. 25
2.2.1
Cơ sở lý thuyết.............................................................................................. 25
2.2.2
Báo cáo kết quả thí nghiệm .......................................................................... 25
Phần III:
ĐÁNH GIÁ CHẤM ĐIỂM, BẢO VỆ THÍ NGHIỆM............................. 26
BÀI: 2 THIẾT KẾ MẠCH ĐẾM TRÊN NỀN FPGA...................................................... 27
Phần I. THÍ NGHIỆM ...................................................................................................... 28
1.1. Mục đích thí nghiệm:............................................................................................. 28
1.2. Cơ sở lý thuyết: ..................................................................................................... 28
1.3. Thí nghiệm: ........................................................................................................... 29
1.3.1. Nội quy an toàn thí nghiệm: ........................................................................... 29
1.3.2. Nội dung bài thí nghiệm: ................................................................................ 29
1.3.3. Phương pháp và cách thức thí nghiệm: .......................................................... 29
1. Giới thiệu thiết bị và dụng cụ thí nghiệm ..................................................... 29
2. Phân nhóm thí nghiệm.................................................................................. 30
3. Sơ đồ hay cách thức tiến hành TN................................................................ 31
4. Trình tự tiến hành thí nghiệm ........................................................................... 31

1.3.3. Ghi chép số liệu, kết quả thí nghiệm .............................................................. 35
1.4. Chuẩn bị của sinh viên: ......................................................................................... 36
Phần II. VIẾT BÁO CÁO THÍ NGHIỆM........................................................................ 37
Phần III. ĐÁNH GIÁ CHẤM ĐIỂM, BẢO VỆ THÍ NGHIỆM...................................... 37
BÀI 3. LẬP TRÌNH HỆ THỐNG NHÚNG GIAO TIẾP THIẾT BỊ NGOẠI VI CƠ BẢN
.......................................................................................................................................... 38
Phần I. THÍ NGHIỆM ...................................................................................................... 39
1.1. Mục đích thí nghiệm:............................................................................................. 39
1.2. Cơ sở lý thuyết: ..................................................................................................... 39
1.3. Thí nghiệm: ........................................................................................................... 40
1.3.1. Nội quy an toàn thí nghiệm: ....................................................................... 40
1.3.3. Phương pháp và cách thức thí nghiệm: ...................................................... 40
1.4. Ghi chép số liệu, kết quả thí nghiệm ..................................................................... 45
1.5. Chuẩn bị của sinh viên: ......................................................................................... 45
Phần II. VIẾT BÁO CÁO THÍ NGHIỆM........................................................................ 46
Phần III. ĐÁNH GIÁ CHẤM ĐIỂM, BẢO VỆ THÍ NGHIỆM...................................... 46


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

4

BÀI 4 – THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA...................................... 47
Phần I. THÍ NGHIỆM ...................................................................................................... 48
1.1. Mục đích thí nghiệm:............................................................................................. 48
1.2. Cơ sở lý thuyết: ..................................................................................................... 48
1.3. Thí nghiệm: ........................................................................................................... 48
1.3.1. Nội quy an toàn thí nghiệm: ........................................................................... 48
1.3.2. Nội dung bài thí nghiệm: ................................................................................ 48
1.3.3. Phương pháp và cách thức thí nghiệm: .......................................................... 49

I. Giới thiệu thiết bị và dụng cụ thí nghiệm ..................................................... 49
II. Phân nhóm thí nghiệm.................................................................................. 49
III.
Sơ đồ và cách thức tiến hành TN.............................................................. 50
IV.
Trình tự tiến hành thí nghiệm ................................................................... 50
V. Viết mã nguồn: ............................................................................................. 56
VI.
Ghi chép số liệu, kết quả thí nghiệm ........................................................ 57
1.4. Chuẩn bị của sinh viên: ............................................................................................. 58
Phần II. VIẾT BÁO CÁO THÍ NGHIỆM........................................................................ 59
Phần III. ĐÁNH GIÁ CHẤM ĐIỂM, BẢO VỆ THÍ NGHIỆM...................................... 59


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử
Biên soạn: Th.S Tăng Cẩm Nhung

QUY TRÌNH THỰC HIỆN BÀI THÍ NGHIỆM
MÔN GIỚI THIỆU VLSI

BÀI: 1
Thiết kế ALU 8bit
CHƯƠNG TRÌNH ĐÀO TẠO ĐẠI HỌC THEO HỆ THỐNG TÍN CHỈ
(HỆ 150 TC)

Bài thí nghiệm “Thiết kế ALU 8bit”

5



Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

Phần I:

6

THÍ NGHIỆM

1.1 Mục đích thí nghiệm
Bộ xử lý toán học và logic (ALU - Arithmetic and Logic Unit) là một mạch tổ
hợp để xử lý các tác vụ về logic và toán học dựa trên hai toán hạng đầu vào. Các
tác vụ cho ALU thực hiện được điều khiển bằng các đầu vào nhập select). Mục
đích của bài thí nghiệm này là thiết kế một ALU với yêu cầu như sau:


Độ lớn của các toán hạng đầu vào là 8bit.



Các đầu vào nhập (select) gồm có: M, S0, S1, Carry



Với yêu cầu thiết kế, mạch gồm 5 chức năng thực hiện: cộng trừ, NAND,
OR, XOR theo bảng dưới đây:
M

S1

S0


Chức năng

Tác vụ

0

0

0

Ai*Bi

AND

0

0

1

Ai+Bi

OR

0

1

0


Ai(+)Bi

XOR

0

1

1

~( Ai(+)Bi)

XNOR

1

0

0

A+C0

A+Carry

1

0

1


A+B+C0

A+B+Carry

1

1

0

A+B’+C0

A+Bù B+Carry

1

1

1

A’+B+C0

Bù A +B+Carry

Sơ đồ khối của ALU được xây dựng như sau:

Bài thí nghiệm “Thiết kế ALU 8bit”



Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

7

1.2 Cơ sở lý thuyết
1.2.1 Ki n th c n n
Khi tính toán với số học, vấn đề gặp phải là biểu diễn số âm. Trong hệ số , cách thức
biểu diễn số âm phổ biến nhất là biểu diễn dưới dạng số bù 2. Ưu điểm của cách thức biểu
diễn này là thực hiện phép toán cộng/trừ dễ dàng hơn. Ngoài ra, cách thức chuyển đổi nhanh
hơn, số 0 chỉ có một dạng biểu diễn duy nhất. Số bù 2 được định nghĩa như sau:
Two's complement(N) = 2n - N
Biểu thức trên có thể được biểu diễn lại như sau
2n - N = (2n -1 - N) + 1
Cách thức chuyển đổi một số sang dạng bù 2 như sau:


Chuyển số cần tìm sang dạng nhị phân



Đảo các bit của số nhị phân, được số bù 1



Cộng 1 vào số bù 1, ta được số bù 2

Ví dụ: biểu diễn số -5


Chuyển 5 sang số nhị phân tương ứng: 0101b




Đảo bit: 1010b



Cộng 1: 1011b, là bù 2 của -5

5

0101b

1010 ( bù 1 )
+

1
1011 ( bù 2 )

Vấn đề gặp phải ở đây là mặc dù dùng 4-bit để biểu diễn, nhưng do ở dạng bù 2 nên
phạm vi giới hạn từ -8 đến +7. Kết quả thực ở đây là +9 và -9 đã vượt phạm vi nên kết quả
nhận được sẽ sai. Ta nhận thấy có thể phát hiện ra việc tràn số nhờ trạng thái bit có trong số
cao nhất (MSB) của cờ Carry, khi bit này thay đổi của nghĩa là đã có tràn số .
Khi thiết kế ALU, ta giả sử các số ở đầu vào nhập đều ở dạng bù 2.

1.2.2 Thi t k ALU
Để thiết kế ALU dựa trên nguyên tắc mudule hoá các thiết kế, tức là chia thành các
phần nhỏ hơn, dễ quản lý hơn và có thể tái sử dụng. Cách tiếp này sẽ giúp cho mọi việc có
tính hệ thống hơn, đồng thời dễ dàng trong việc phát triển thành các hệ thống lớn, phức tạp.


Bài thí nghiệm “Thiết kế ALU 8bit”


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

8

Xét về cấu trúc, một ALU n-bit được xây dựng từ n ALU 1-bit. Như vậy, để thiết kế
một ALU 8-bit, ta chỉ cần thiết kế một ALU 1-bit. Sau đó, có thể ghép nối 4 ALU 1-bit này
lại với nhau để tạo thành ALU 8-bit. Mỗi ALU 1-bit như vậy được gọi là một bit-slice.
Cách này có tính sử dụng lại rất cao và được dùng nhiều trong kỹ thuật thiết kế phần cứng,
chẳng hạn thiết kế bộ nhớ.
Để thiết kế một bit-slice, có nhiều cách khác nhau. Một cách có thể làm là viết bảng
chân lý để thiết kế. Bảng nàyy có 6 đầu vào nhập (M, S1, S0, C0, Ai, Bi) và hai đầu ra Ri và
Ci+1. Cách này nếu viết bằng tay thì khá công phu, nhưng hiệu quả. Trong VHDL, có thể
hiện thực thiết kế này bằng mô hình cấu trúc.

1.3 Thí nghiệm
1.3.1 N i quy an toàn thí nghi m
- Người thực hiện thí nghiệm kể cả Hướng dẫn viên và sinh viên đề phải nắm
vững các nội quy an toàn do phòng thí nghiệm quy định, thông qua việc học nội
quy có kiểm tra sát hạch.
- Các thiết bị thí nghiệm chịu sự kiểm soát an toàn theo phân cấp của nhà
nước phải đảm bảo có đầy đủ biên bản kiểm định an toàn của cấp có thẩm quyền.
Ví dụ: Thiết bị điện cao thế (trên 1 kV), các thiết bị áp lực, chất hóa học đặc biệt...

1.3.2 N i dung bài thí nghi m
Theo đề cương được duyệt

1.3.3 Ph ng pháp và cách th c thí nghi m

1.3.3.1 Thi t b và d ng c thí nghi m
Máy tính PC cài đặt phần mềm Quatus II được cung cấp bởi Altera

1.3.3.2 Phân nhóm thí nghi m
3 SV/1 nhóm, làm việc trên 1 máy tính
24 SV/1ca thí nghiệm

1.3.3.3 Cách th c ti n hành thí nghi m
Bài 1: Tạo một Project trong Quartus II.
-

Tạo thư mục để chứa project

-

Thiết kế project

-

Mô phỏng thiết kế

Bài 2: Thiết kế bộ cộng
Bài 3 Thiết kế bộ dồn kênh
Bài 4: Thiết kế ALU
Bài thí nghiệm “Thiết kế ALU 8bit”


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

9


Bài 1: Tạo một Project trong Quartus II
1- Tạo project :
1. Sau khi cài đặt xong phần mềm QuartusII, bắt đầu chạy chương trình bằng
cách double-click vào biểu tượng trên desktop.
Giao diện QuartusII sẽ xuất hiện :

2. Đầu tiên, cần tạo một project mới : File/ New Project Wizard. Ở cửa sổ đầu
tiên điền vào thông tin về thư mục chứa project, tên project và tên top-module (tên
top- module thường trùng tên project). Click Next 2 lần.

3. Cửa sổ Family & Device Settings dùng để chọn họ và tên linh kiện FPGA
để cấu hình. Chọn họ linh kiện CycloneII, tên EP2C70F896C6 (board DE2-70).
Chọn Finish.
Bài thí nghiệm “Thiết kế ALU 8bit”


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

10

4. Vào File/ New / Block Diagram/Schematic File.
5.Click chuột phải vào trong thiết kế, chọn Insert/ Symbol.

Chọn cổng AND bằng cách gõ vào “and2”. Bấm OK. Gắn vào trong
thiết kế.

Bài thí nghiệm “Thiết kế ALU 8bit”



Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

11

6. Làm tương tự bước 5 để gắn input (ngõ vào) và output (ngõ ra) cho
thiết kế (có thể dùng phím Ctrl để copy). Đưa chuột vào chân của linh kiện
và thực hiện nối dây.

7. Đặt tên cho input và output (input : in1, in2; output : out) bằng cách
double-click vào symbol.

8. Cuối cùng ta được hình cổng AND với input và output, chọn File 
Save, tên file : congand.

9. Biên dịch thiết kế chọn Processing / Start Compilation

10. Nếu không có lỗi, sẽ xuất hiện cửa sổ báo successful. Bấm OK.

Mô phỏng thiết kế
Bài thí nghiệm “Thiết kế ALU 8bit”


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

12

11. Vào File/ New / Vector Waveform File.
12. Click chuột phải vào cửa sổ “Name”. Chọn Insert/ Insert Node or
Bus.


13. Chọn Node Finder. Cửa sổ Node Finder chọn “Pins: all” và bấm
List. Chọn tất cả các chân. Bấm OK 2 lần.

14. Vẽ dạng sóng cho các đường input bằng hộp công cụ bên trái

Bài thí nghiệm “Thiết kế ALU 8bit”


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

13

15. Zoom out, dùng các biểu tượng lên 1 và xuống 0 để vẽ các đường
tín hiệu ngõ vào. Lưu lại với tên file : congand.vwf.

16. Vào Processing / Start Simulation để mô phỏng.

17. Kết quả dạng sóng thu được.

Bài thí nghiệm “Thiết kế ALU 8bit”


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

14

Bài 2: Thiết kế bộ cộng

1. Chạy chương trình bằng cách double-click vào biểu tượng
desktop.


trên

2. Tạo một project mới có tên : cong4bit.

3. Đầu tiên cần tạo mạch cộng 1 bit gồm Half Adder và Full Adder :
File / New / Block Diagram/Schematic File.
4. Thực hiện thiết kế mạch cộng 1 bit HA như trong hình :

Lưu lại với tên : HA.bdf.
5. Tiếp tục, thực hiện thiết kế mạch cộng 1 bit FA.

Bài thí nghiệm “Thiết kế ALU 8bit”


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

15

Lưu lại với tên file : FA.bdf.
6. Tạo symbol (đóng gói thiết kế) cho file FA.bdf và HA.bdf bằng
cách vào File / Create/Update/ Create Symbol File for Current File.

7. Thực hiện thiết kế mạch cộng 4 bit bằng cách ghép 4 module mạch
cộng 1 bit lại với nhau. Vào File/ New/ Block Diagram/Schematic File.
8. Thêm module mạch cộng 1 bit vào : Insert / Symbol/ FA (hoặc HA).

Bài thí nghiệm “Thiết kế ALU 8bit”



Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

16

9. Ghép 4 module cộng 1 bit lại để tạo thành mạch cộng 4 bit.

10. Thêm vào các input và output. Các input là A, B dạng bus (4
đường). Các output là S dạng bus (5 đường). Đặt tên cho các input A, B
bằng cách double-click vào input, phần “Pin name” gõ vào : A[3..0] và
B[3..0]. Tương tự cho output S[4..0].

11. Vẽ các đường bus (

) và dây nối (

Bài thí nghiệm “Thiết kế ALU 8bit”

) cho mạch.


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

17

12. Click chuột phải vào đường bus và dây nối, chọn Properties để đặt
tên cho chúng theo hình.

13. Lưu lại với tên : cong4bit.bdf.

Mô phỏng thiết kế

14. Biên dịch thiết kế chọn Processing/Start Compilation.
15. Tạo ra Vector Waveform File như sau :

16. Thay đổi hệ cơ số của A, B và S bằng cách click chuột phải vào A,
B hoặc S. Chọn Properties. Trong Radix chọn Unsigned Decimal (thập phân
không dấu).

Bài thí nghiệm “Thiết kế ALU 8bit”


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

17. Vẽ dạng sóng cho A và B bằng công cụ thiết lập giá trị tùy ý

18. Vào Processing /Start Simulation để mô phỏng.
19. Kết quả dạng sóng thu được.

Bài thí nghiệm “Thiết kế ALU 8bit”

18

.


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

19

Bài 3: Thiết kế bộ dồn kênh


1. Chạy chương trình bằng cách double-click vào biểu tượng
desktop.

trên

2. Tạo một project mới có tên : machDAHOP.
3. Đầu tiên cần tạo mạch đa hợp 2-1 1 bit : File / New / Block
Diagram/Schematic File.
4. Thực hiện thiết kế mạch đa hợp 2-1 1 bit như trong hình :

Lưu lại với tên : dahop1bit.bdf
5. Tạo symbol (đóng gói thiết kế) cho file dahop1bit.bdf bằng cách vào
File / Create/Update/ Create Symbol File for Current File.

6. Thực hiện thiết kế mạch đa hợp 2-1 8 bit bằng cách ghép các
module mạch đa hợp 2-1 1 bit lại với nhau.

Bài thí nghiệm “Thiết kế ALU 8bit”


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

7. Kết quả dạng sóng thu được :

Bài thí nghiệm “Thiết kế ALU 8bit”

20


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử


21

Bài 5: Thiết kế ALU
Đầu tiên, ta sẽ thiết kế một bộ ALU gồm 2 chức năng : AND và cộng.
1. Tạo một project mới có tên : alu8bit
2. Tạo bộ ALU 1 bit như hình dưới (gồm 1 cổng AND, 1 bộ cộng FA, 1
bộ dồn kênh 2-1 1 bit). Lưu lại với tên file : alu1bit.bdf
alu1bit
A Result
B
Cout
Cin
S
inst

3. Thực hiện thiết kế bộ ALU 8 bit bằng cách ghép 8 bộ ALU 1 bit lại với
nhau.

Bài thí nghiệm “Thiết kế ALU 8bit”


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

22

GND

INPUT
VCC


A[7..0]

alu1bit
A[0]

INPUT
VCC

S

INPUT
VCC

B[0]

B[7..0]

B[7..0]

inst

alu1bit
A[1]

Result[1]

A Result
B
Cout

Cin
S

B[1]

inst1

alu1bit
A[2]

Result[2]

A Result
B
Cout
Cin
S

B[2]

inst2

alu1bit
A[3]

Result[3]

A Result
B
Cout

Cin
S

B[3]

inst3

alu1bit
A[4]

Result[4]

A Result
B
Cout
Cin
S

B[4]

inst4

alu1bit
A[5]

Result[5]

A Result
B
Cout

Cin
S

B[5]

inst5

alu1bit
A[6]

Result[6]

A Result
B
Cout
Cin
S

B[6]

inst6

alu1bit
A[7]
B[7]

Result[7]

A Result
B

Cout
Cin
S
inst13

Bài thí nghiệm “Thiết kế ALU 8bit”

OUTPUT

Result[7..0]

OUTPUT

pin_name

Result[0]

A Result
B
Cout
Cin
S

Result[7..0]

A[7..0]


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử


Lưu lại với tên : alu8bit.bdf
4. Kết quả mô phỏng :

Bài thí nghiệm “Thiết kế ALU 8bit”

23


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

24

Ghi chép số liệu và kết quả thí nghiệm
1. Mô tả quá trình xây dựng một Project bằng phần mềm Quatus II
2. Ghi lại kết quả mô phỏng khi thực hiện các phép toán trên ALU theo bảng sau
Phép toán

A

B

M

S0

S1

Kết quả

AND

OR
XOR
XNOR
A+Carry
A+B+Carry
A+Bù B+Carry
Bù A +B+Carry

3. Thiết kế ALU 4bit gồm các chức năng: cộng/trừ, NAND, OR, XOR
1.4 Thí nghiệm
- Đọc và nghiên cứu bài thí nghiệm
- Đọc và nghiên cứu lý thuyết có liên quan đến nội dung bài thí nghiệm
- Chuẩn bị các vật dụng, giấy vở ghi chép số liệu thí nghiệm
- Sự chuẩn bị của sinh viên phải được thông qua kiểm tra của người hướng
dẫn thí nghiệm. Nếu không đạt, sẽ không được tham gia thí nghiệm và có được thí
nghiệm tiếp vào buổi khác hay không sẽ do Bộ môn và Ban chủ nhiệm khoa giải
quyết.

Bài thí nghiệm “Thiết kế ALU 8bit”


Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử

25

Phần II: VIẾT BÁO CÁO THÍ NGHIỆM

2.1 Qui định chung
Báo cáo thí nghiệm được viết một mặt trên khổ giấy A4, đóng quyển, bìa
mềm (theo mẫu .........). Mỗi sinh viên có một quyển báo cáo riêng.


2.2 Nội dung báo cáo
2.2.1 C s lý thuy t
Phân tích cơ sở lý thuyết như mục 1.2.

2.2.2 Báo cáo k t qu thí nghi m
- Tổng hợp những kết quả chính cho nội dung báo cáo
- Phương pháp xử lý kết quả thí nghiệm
- Gia công kết quả, lập bảng, vẽ đặc tính
- Nhận xét kết quả:
+ Các kết quả thu được từ thí nghiệm
+ So sánh kết quả thí nghiệm với lý thuyết
+ Mức độ kết quả đạt được so với yêu cầu đề ra
+ Đánh giá các sai số của dụng cụ, thiết bị thí nghiệm, người thao tác...
- Kiến nghị.

Bài thí nghiệm “Thiết kế ALU 8bit”


Tài liệu bạn tìm kiếm đã sẵn sàng tải về

Tải bản đầy đủ ngay
×