Tải bản đầy đủ (.pdf) (72 trang)

Kiểm chứng module điều khiển bộ nhớ truy cập ngẫu nhiên DDR2

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (2.47 MB, 72 trang )

MỤC LỤC
Trang
MỤC LỤC .............................................................................................................. 1
DANH MỤC CÁC KÝ HIỆU, CÁC CHỮ VIẾT TẮT ............................................ 3
DANH MỤC CÁC BẢNG ...................................................................................... 4
DANH MỤC CÁC HÌNH VẼ ................................................................................. 5
MỞ ĐẦU ................................................................................................................ 7
Chƣơng 1 – KHÁI QUÁT CHUNG VỀ KIỂM CHỨNG VI MẠCH SỐ ............... 12
1.1.

Tầm quan trọng và vị trí của kiểm chứng trong quá trình thiết kế vi mạch 12

1.1.1.

Tầm quan trọng của kiểm chứng trong thiết kế vi mạch ..................... 12

1.1.2.

Vị trí của kiểm chứng trong quá trình thiết kế vi mạch ....................... 16

1.2.

Phân loại lỗi và các kiểu kiểm chứng vi mạch phổ biến ............................ 18

1.3.

Các nguyên tắc cơ bản của kiểm chứng vi mạch ....................................... 22

1.4.

Các phƣơng pháp kiểm chứng hiện có ...................................................... 25



1.4.1.

Kiểm tra tƣơng đƣơng ........................................................................ 25

1.4.2.

Kiểm tra mô hình ............................................................................... 25

1.4.3.

Kiểm chứng dựa trên khẳng định ....................................................... 26

1.4.4.

Kiểm chứng hình thức........................................................................ 27

Chƣơng 2 – PHƢƠNG PHÁP KIỂM CHỨNG DỰA TRÊN MÔ PHỎNG............ 29
2.1.

Giới thiệu ................................................................................................. 29

2.2.

Quy trình thực hiện kiểm chứng dùng mô phỏng ...................................... 29

2.3.

So sánh kiểm chứng dựa trên mô phỏng và kiểm chứng hình thức ............ 35


Chƣơng 3 – GIỚI THIỆU VỀ BỘ NHỚ VÀ BỘ ĐIỀU KHIỂN CỦA DDR2
SDRAM ................................................................................................................ 38

-1-


3.1.

Giới thiệu về bộ nhớ DDR2 SDRAM ....................................................... 38

3.2.

Mô tả kỹ thuật chức năng bộ nhớ DDR2 SDRAM .................................... 44

3.2.1.

Mô tả chức năng các tín hiệu vào ra ................................................... 44

3.2.2.

Sơ đồ chuyển trạng thái ..................................................................... 45

3.2.3.

Các hoạt động của DDR2 SDRAM .................................................... 46

3.3.

Bộ điều khiển bộ nhớ DDR2 SDRAM ...................................................... 51


Chƣơng 4 – XÂY DỰNG NHÂN KIỂM CHỨNG BỘ ĐIỀU KHIỂN DDR2
SDRAM ................................................................................................................ 53
4.1.

Lập kế hoạch kiểm chứng ......................................................................... 53

4.2.

Nhân kiểm chứng bộ điều khiển DDR2 SDRAM ..................................... 55

4.2.1.

Kiến trúc nhân kiểm chứng bộ điều khiển DDR2 SDRAM ................ 55

4.2.2.

Mô tả các thuộc tính kiểm chứng bộ điều khiển DDR2 SDRAM ....... 58

4.3.

Thực hiện kiểm chứng mô phỏng trên phần mềm Questa Sim .................. 64

4.4.

Kết quả thực nghiệm ................................................................................ 69

KẾT LUẬN VÀ KIẾN NGHỊ ............................................................................... 71
TÀI LIỆU THAM KHẢO ..................................................................................... 72

-2-



DANH MỤC CÁC KÝ HIỆU, CÁC CHỮ VIẾT TẮT
SBV

Simulation Based Verification

Kiểm chứng dựa trên mô phỏng

AVB

Assertion Based Verification

Kiểm chứng dựa trên khẳng định

BDD

Binary Decision Diagram

Biểu đồ quyết định nhị phân

BMC

Bounded Model Checking

Kiểm tra mô hình giới hạn

CNF

Conjunction Normal Form


Dạng chuẩn hội

CTL

Computation tree logic

Logic cây tính toán

DDR2

Double Data Rate

Tốc độ dữ liệu gấp đôi

FSM

Finite State Machine

Máy trạng thái hữu hạn

FV

Formal Verification

Kiểm chứng hình thức

HDL

Hardware Description Language


Ngôn ngữ mô tả phần cứng

IC

Integrated Circuit

Mạch tích hợp

IPC

Interval Property Checking

Kiểm tra thuộc tính khoảng

LTL

Linear temporal logic

Logic thời gian tuyến tính

RTL

Register Transfer Level

Mức dịch chuyển thanh ghi

SAT

Satisfiability


Thuật toán SAT

SDRAM Synchronous dynamic random-access Bộ nhớ truy cập ngẫu nhiên có
memory

đồng bộ

SOC

System on Chip

Hệ thống trên chip

VLSI

Very – large – scale Integration

Vi mạch tích hợp rất lớn

STG

State Transition Graph

Đồ thị chuyển trạng thái

SMC

Symbolic Model Checking


Kiểm tra mô hình biểu tƣợng

SVA

System Verilog Assertion

Ngôn ngữ SVA

VIP

Verification Intellectual Property

Sở hữu trí tuệ kiểm chứng

JEDEC

Joint Electron Device Engineering Hội đồng thiết kế các thiết bị
điện tử

Council

-3-


DANH MỤC CÁC BẢNG
Bảng 1.1: Phân bổ lỗi trong chíp Pentium 4 ........................................................... 20
Bảng 3.1: So sánh giữa DDR2 SDRAM, DDR SDRAM và SDR SDRAM ............ 40
Bảng 3.2: Mô tả các tín hiệu vào ra DDR2 SDRAM .............................................. 45
Bảng 4.1: Kế hoạch kiểm chứng ............................................................................ 53
Bảng 4.2: Các tín hiệu giao diện ............................................................................ 57

Bảng 4.3: Thuộc tính kiểm tra quá trình khởi tạo ................................................... 58
Bảng 4.4: Thuộc tính kiểm tra giữa hai lệnh ACTIVE khác Bank .......................... 59
Bảng 4.5: Thuộc tính kiểm tra giữa hai lệnh ACTIVE cùng bank .......................... 60
Bảng 4.6: Thuộc tính kiểm tra từ lệnh ACTIVE đến PRECHARGE cùng bank ..... 60
Bảng 4.7: Thuộc tính kiểm tra từ lệnh ACTIVE đến lệnh READ hoặc WRITE cùng
bank ...................................................................................................................... 61
Bảng 4.8: Thuộc tính kiểm tra giữa hai lệnh READ ............................................... 61
Bảng 4.9: Thuộc tính kiểm tra từ lệnh READ đến lệnh WRITE ............................. 62
Bảng 4.10: Thuộc tính kiểm tra từ lệnh WRITE tới lệnh READ ............................ 62
Bảng 4.11: Thuộc tính kiểm tra từ lệnh PRECHARGER per BANK đến một lệnh
hợp lệ bất kỳ .......................................................................................................... 63
Bảng 4.12: Thuộc tính kiểm tra từ lệnh REFRESH tới ACTIVE hoặc REFRESH
tiếp theo................................................................................................................. 63
Bảng 4.13: Kết quả về độ phủ kiểm chứng chức năng bộ điều khiển
DDR2_SDRAM .................................................................................................... 69
Bảng 4.14: Tóm lƣợc độ phủ kết quả kiểm chứng .................................................. 69

-4-


DANH MỤC CÁC HÌNH VẼ
Hình 1.1: Khoảng cách giữa năng suất sản xuất và năng suất thiết kế vi mạch ...... 14
Hình 1.2: Giá thành thiết kế hệ thống trên chíp ...................................................... 15
Hình 1.3: Bậc thang mức độ trừu tƣợng của thiết kế .............................................. 16
Hình 1.4: Quan hệ giữa quá trình thiết kế và kiểm chứng ...................................... 17
Hình 1.5: Phân bổ các lỗi trong vi mạch ................................................................ 18
Hình 1.6: Phân bổ lỗi trong chíp Pentium 4 ........................................................... 19
Hình 1.7: Các loại kiểm chứng và phân bổ công sức kiểm chứng .......................... 21
Hình 1.8: Nguyên tắc cơ bản của kiểm chứng ........................................................ 23
Hình 1.9: Mô hình kiểm chứng dùng mô phỏng..................................................... 24

Hình 1.10: Các yếu tố của kiểm chứng hình thức ................................................... 27
Hình 2.1: Quy trình kiểm chứng dùng mô phỏng .................................................. 34
Hình 2.2: Cách đánh giá không gian đầu ra ........................................................... 36
Hình 3.1: Cấu tạo một Cell nhớ SDRAM .............................................................. 39
Hình 3.2: Cấu tạo một Cell nhớ của DRAM .......................................................... 39
Hình 3.3: So sánh SDR, DDR và DDR2 ................................................................ 41
Hình 3.4: So sánh Motherboard terminal và On Die Terminal ............................... 42
Hình 3.5: So sánh tác động của OCD Cabliration .................................................. 43
Hình 3.6: So sánh hoạt động của Ram khi có Posted CAS Operation ..................... 44
Hình 3.7: Sơ đồ chuyển trạng thái của DDR2 SDRAM ......................................... 46
Hình 3.8: Thứ tự khởi tạo DDR2 SDRAM ............................................................ 47
Hình 3.9: Lệnh bank active .................................................................................... 48
Hình 3.10: Lệnh đọc .............................................................................................. 48
Hình 3.11: Lệnh ghi ............................................................................................... 49
Hình 3.12: Lệnh Refresh........................................................................................ 50
Hình 3.13: Lệnh Precharge sau khi đọc................................................................. 50
Hình 3.14: Lệnh Precharge sau khi ghi .................................................................. 51
Hình 3.15: Bộ điều khiển bộ nhớ DDR2 SDRAM ................................................. 52
Hình 4.2: Kiến trúc nhân kiểm chứng (VIP ) bộ điều khiển DDR2 SDRAM......... 56

-5-


Hình 4.3: Giao diện chính của chƣơng trình .......................................................... 64
Hình 4.5: Kết quả biên dịch ................................................................................... 66
Hình 4.6: Dạng sóng tím hiệu mô phỏng ............................................................... 67
Hình 4.7: Tóm lƣợc về độ phủ ............................................................................... 68
Hình 4.8: Chi tiết độ phủ của các thuộc tính kiểm tra bộ điều khiển DDR2 SDRAM
.............................................................................................................................. 68


-6-


MỞ ĐẦU
Ngành công nghiệp vi điện tử hay công nghiệp bán dẫn, công nghiệp vi mạch
hiện nay đang có những bƣớc phát triển vƣợt bậc cả về số lƣợng và chất lƣợng. Nó
đang dần đáp ứng mọi yêu cầu về ứng dụng, phát triển các thiết bị điện tử từ chuyên
biệt đặc thù đến những thiết bị đƣợc tích hợp trong một hệ thống lớn. Trong đó, cốt
lõi là công nghệ vi điện tử đƣợc áp dụng triệt để trong các thiết kế phần cứng, vi
mạch tích hợp với mật độ rất cao các phần tử bán dẫn.
Theo thống kê doanh thu hàng năm của ngành công nghiệp này đạt con số
hàng trăm tỷ USD (304 tỷ USD năm 2010, theo thống kê của KPMG). Trong kết
cấu khổng lồ của tổng giá trị mang lại của ngành công nghiệp vi mạch thì công sức
kiểm chứng thiết kế chiếm đến hơn 70% trong quá trình thiết kế một sản phẩm phần
cứng, kiểm tra xuất hiện trong tất cả các giai đoạn của quá trình thiết kế và sản xuất
vi mạch dƣới các dạng, phƣơng pháp khác nhau. Mặt khác, sự phát triển không
ngừng của ngành vật liệu vi điện tử là tiền đề nhằm tạo ra các phần tử bán dẫn với
kích thƣớc ngày càng nhỏ, nó cho phép thiết kế các hệ thống phức tạp với mật độ
tích hợp rất lớn, đa chức năng. Do đó, ngành kiểm chứng ngày càng đối mặt với
những thách thức lớn hơn trong việc kiểm soát các thiết kế cũng nhƣ phải đƣa ra
những phƣơng pháp kiểm chứng mới, các thuật toán kiểm chứng và phần mềm
kiểm chứng đủ mạnh để đáp ứng những yêu cầu trên. Qua đó, ta thấy đƣợc nhu cầu
về nhân lực trong ngành kiểm chứng là rất tiềm năng và sẽ còn phát triển mạnh mẽ
hơn và xa hơn trong những thập kỷ tới.
Trong điều kiện ấy, cùng với xu hƣớng phát triển toàn cầu hóa của khoa học
công nghệ, Việt Nam là một quốc gia có nhiều điều kiện phù hợp và lợi thế về
nguồn nhân lực dồi dào, năng động sáng tạo, thị trƣờng rộng lớn, các chƣơng trình
về đạo tạo phát triển nguồn nhân lực công nghệ cao, hợp tác chuyển giao công nghệ
đƣợc nhà nƣớc đặc biệt quan tâm. Do đó, việc đón nhận và phát triển công nghệ vi
điện tử, thiết kế và sản xuất vi mạch, trong đó có trọng số rất lớn của quy trình kiểm

chứng thiết kế vi mạch là hoàn toàn phù hợp, phát huy đƣợc tiềm năng và nội lực
của đất nƣớc, trong tiến trình công nghiệp hóa hiện đại hóa.

-7-


Lý do chọn đề tài:
Nhƣ chúng ta đã biết bộ nhớ RAM (Random Access Memory) là một bộ
phận rất quan trọng trong tổng thể kết cấu của các hệ thống, từ các hệ thống đơn
giản nhƣ các vi điều khiển đến các hệ thống SoC (system on chip) lớn hơn, Lap top,
PC hay các hệ thống Server… Nó đóng vai trò là nơi lƣu trữ dữ liệu tạm thời trao
đổi thông tin, dữ liệu giữa CPU tới các khối điều khiển ngoại vi, đến các module và
các loại bộ nhớ khác. Hiệu năng hoạt động của RAM ảnh hƣởng trực tiếp đến quá
trình hoạt động xử lý của hệ thống. Nhƣ ta đã biết, sự phát triển công nghệ vi điện
tử ngày càng mạnh mẽ cho phép thiết kế ra những bộ vi xử lý có tốc độ xử lý rất cao
với lƣu lƣợng thông tin lớn. Do đó, bộ nhớ RAM cũng đƣợc phát triển rất mạnh mẽ
với nhiều công nghệ mới để đáp ứng đƣợc sự phát triển đồng bộ của các hệ thống
tính toán và xử lý lớn.
Bộ nhớ DDR2 SDRAM thuộc thế hệ thứ hai của dòng bộ nhớ động truy cập
ngẫu nhiên có đồng bộ DDR (Double Data Rate) với nhiều đặc điểm kỹ thuật, công
nghệ mới, hoạt động với hiệu năng cao, nó cũng là nền tảng để phát triển các thế hệ
bộ nhớ RAM tiếp theo thuộc dòng bộ nhớ này. Trong đó, bộ điều khiển của nó đóng
một vai trò rất quan trọng trong quá trình hoạt động của RAM, điều phối các hoạt
động đảm bảo cho RAM hoạt động đúng các chỉ tiêu tham số kỹ thuật cho phép. Vì
vậy việc kiểm chứng bộ điều khiển của bộ nhớ DDR2 SDRAM có tầm quan trọng
rất lớn trong quá trình thiết kế bộ nhớ này.
Mặt khác, trong các phƣơng pháp kiểm chứng thì phƣơng pháp kiểm chứng
dựa trên mô phỏng đƣợc sử dụng rất phổ biến, giá thành các phần mềm kiểm chứng
này cũng thấp hơn, phƣơng pháp thực hiện đơn giản, độ phủ cao, thời gian thực
hiện ngắn, kiểm chứng đƣợc các chức năng cơ bản của các thiết kế không quá phức

tạp. Những điều này hoàn toàn phù hợp trong môi trƣờng nghiên cứu, phát triển
hiện nay tại Việt Nam.
Từ những yếu tố khách quan và chủ quan trên, trong giới hạn của luận văn
này, tôi đã chọn đề tài:
Kiểm chứng module điều khiển bộ nhớ truy cập ngẫu nhiên DDR2.

-8-


Trong đó, trọng tâm là sử dụng phƣơng pháp kiểm chứng dựa trên mô phỏng,
sử dụng phần mềm kiểm chứng Questa Sim của hãng Mentor Graphics.
Mục đích nghiên cứu của luận văn, đối tƣợng, phạm vi nghiên cứu:
+ Mục đích nghiên cứu: Luận văn đề cập đến vai trò và vị trí của kiểm chứng
trong quá trình thiết kế vi mạch nói chung, trong đó, trọng tâm là phƣơng pháp kiểm
chứng dựa trên mô phỏng; tìm hiểu về hoạt động của bộ nhớ, bộ điều khiển của
DDR2 SDRAM. Từ những phân tích đó để đƣa ra đƣợc kế hoạch kiểm chứng và
xây dựng một nhân kiểm chứng bao gồm một tập hợp các thuộc tính kiểm tra chức
năng bộ điều khiển của bộ nhớ DDR2 SDRAM. Nhân kiểm chứng này đƣợc đóng
gói dƣới dạng một tập các module, đƣợc viết bằng ngôn ngữ SVA (System Verilog
Assertion) có thể đƣợc tái sử dụng để kiểm tra các Module điều khiển bộ nhớ hỗ trợ
DDR2 sử dụng trong phƣơng pháp kiểm chứng dựa trên mô phỏng.
+ Đối tƣợng và phạm vi nghiên cứu: Nghiên cứu, sử dụng phƣơng pháp kiểm
chứng dựa trên mô phỏng, xây dựng một nhân kiểm chứng kiểm tra các chức năng
của bộ điều khiển DDR2 SDRAM, sử dụng model thiết kế bộ điều khiển của hãng
Micron. Nhân kiểm chứng này kiểm tra hầu hết các thuộc tính chức năng cơ bản
nhất của bộ điều khiển DDR2.
Những luận điểm cơ bản và đóng góp mới của tác giả:
Hiện nay, kiểm tra thiết kế phần cứng đã trở nên bức thiết hơn bao giờ hết
bởi nó phải phát triển mạnh mẽ để đáp ứng đƣợc những sản phẩm thiết kế ngày
càng phức tạp. Do đó, việc phát triển các thuật toán kiểm chứng, các phƣơng pháp

kiểm tra và việc ứng dụng nó một cách phù hợp với từng điều kiện là điều hết sức
cần thiết. Đã có nhiều phƣơng pháp kiểm chứng thiết kế đƣợc phát triển và cũng
nhiều công ty đã cung cấp các nhân IP kiểm chứng (Verification IP) tiêu chuẩn,
nhƣng lựa chọn phƣơng pháp nào để phù hợp với điều kiện về mức độ yêu cầu và
giá thành là một điều hết sức quan trọng. Phƣơng pháp kiểm chứng dựa trên mô
phỏng phù hợp với điều kiện thực tế tại Việt Nam.
Nhân kiểm chứng đƣợc thiết kế một cách cơ bản, chặt chẽ, kiểm tra đƣợc hầu
hết những thuộc tính chức năng cơ bản quan trọng nhất của bộ điều khiển DDR2

-9-


SDRAM theo tiêu chuẩn JEDEC. Qua đó, ta cũng nắm bắt đƣợc những đặc điểm kỹ
thuật cơ bản, tiêu chuẩn của DDR2 là nền tảng để tìm hiểu các thế hệ RAM sau này.
Đó là cơ sở hoàn thiện và phát triển một nhân kiểm chứng mạnh hơn để kiểm tra tất
cả các chức năng của bộ điều khiển DDR2 SDRAM và có thể mở rộng lên lớp vật
lý để kiểm tra việc truyền nhận dữ liệu.
Phƣơng pháp nghiên cứu:
Luận văn đƣợc nghiên cứu trên phƣơng diện lý thuyết và sử dụng tài nguyên
mã nguồn của Micron và cộng đồng để kiểm tra thiết kế của bộ điều khiển theo tiêu
chuẩn của JEDEC, mô phỏng sử dụng phần mềm kiểm chứng Questa Sim của
Mentor Graphics.
Nội dung của luận văn:
Luận văn đƣợc trình bày ngắn gọn với cấu trúc nhƣ sau:
Chương 1: Khái quát chung về kiểm chứng vi mạch số
Chƣơng đầu tiên sẽ khái quát về vai trò, tầm quan trọng, vị trí của kiểm
chứng trong quy trình thiết kế vi mạch; giới thiệu về các kiểu, loại kiểm tra, các
phƣơng pháp kiểm chứng hiện có.
Chương 2: Phương pháp kiểm chứng dựa trên mô phỏng
Chƣơng này giới thiệu về phƣơng pháp kiểm chứng dựa trên mô phỏng,

những ƣu, nhƣợc điểm của nó so với phƣơng pháp khác; trình bày về quy trình kiểm
chứng dựa trên mô phỏng. Đây là phƣơng pháp đƣợc lựa chọn thực hiện trong nội
dung luận văn này.
Chương 3: Giới thiệu về bộ nhớ và bộ điều khiển của DDR2 SDRAM
Giới thiệu về bộ nhớ, bộ điều khiển DDR2 SDRAM, trình bày về các đặc
điểm kỹ thuật, chức năng và các hoạt của chúng.
Chương 4: Xây dựng nhân kiểm chứng bộ điều khiển DDR2 SDRAM
Đây là chƣơng trọng tâm của luận văn. Chúng ta tập trung khai thác từ các
đặc tính kỹ thuật của bộ điều khiển DDR2 SDRAM theo tiêu chuẩn của JEDEC,
đƣa ra đƣợc các thuộc tính chức năng cần phải kiểm tra của bộ điều khiển, thiết lập
kế hoạch kiểm chứng, xây dựng nhân kiểm chứng cho bộ kiểm tra bộ điều khiển

- 10 -


DDR2 SDRAM dựa trên những thuộc tính chức năng đã đƣợc chỉ ra từ kế hoạch
kiểm chứng.
Thực hiện mô phỏng kiểm chứng bộ điều khiển DDR2 SDRAM bằng việc sử
dụng phần mềm kiểm chứng Questa Sim áp dụng nhân kiểm chứng đã đƣợc xây
dựng và kết quả đã đạt đƣợc sau khi kiểm chứng bộ điều khiển DDR2 SDRAM.

- 11 -


Chƣơng 1 – KHÁI QUÁT CHUNG VỀ KIỂM CHỨNG VI MẠCH SỐ
1.1.

Tầm quan trọng và vị trí của kiểm chứng trong quá trình thiết kế vi
mạch


1.1.1. Tầm quan trọng của kiểm chứng trong thiết kế vi mạch
Để thiết kế đƣợc những vi mạch tích hợp có độ phức tạp cao với mật độ tích
hợp rất lớn các phần tử bán dẫn trên một đơn vị vi mạch, yêu cầu ứng dụng của các
thiết bị điện tử trong thực tiễn hiện nay và sự phát triển vƣợt bậc của ngành công
nghệ vật liệu vi điện tử, công nghệ nano đòi hỏi các nhà khoa học, các kỹ sƣ thiết kế
phải làm chủ đƣợc công nghệ nền tảng về tổng hợp, tối ƣu và tự động kiểm tra phần
cứng số. Một thực tế đặt ra là hiện nay, khi các mạch tích hợp với hàng triệu cổng
logic thì chỉ các phần mềm tự động mới có khả năng tối ƣu và kiểm tra chúng. Việc
sử dụng các phần mềm thiết kế và kiểm tra tự động đóng vai trò rất lớn trong thiết
kế sản xuất các mạch tích hợp, giải quyết đƣợc hai vấn đề lớn và cơ bản đó là năng
suất thiết kế và chất lƣợng của vi mạch.
Các mạch tích hợp yêu cầu phải có chất lƣợng rất cao bởi ngày nay chúng xuất
hiện ở mọi sản phẩm điện tử tiêu dùng, trong công nghiệp cũng nhƣ trong lĩnh vực
an ninh quốc phòng. Mọi hoạt động của thiết bị phải thật chính xác và một số thiết
bị gần nhƣ không đƣợc phép có lỗi xuất hiện. Do đó, bất cứ một lỗi nhỏ nào xuất
hiện trong phần cứng đƣợc thiết kế không những gây tổn thất lớn cho các nhà thiết
kế và sản xuất phần cứng về giá thành cũng nhƣ thời gian đƣa ra thị trƣờng của sản
phẩm, mà còn ảnh hƣởng tới uy tín, thƣơng hiệu của nhà sản xuất. Đặc biệt, sự ảnh
hƣởng đó còn nghiêm trọng hơn khi lỗi trốn thoát không thể kiểm chứng đƣợc trong
quá trình thiết kế và sản xuất, khi đƣa ra thị trƣờng tiêu thụ và khi ứng dụng trong
các thiết bị và phƣơng tiện thuộc các lĩnh vực nhƣ: an ninh, quốc phòng, hàng
không vũ trụ, phƣơng tiện giao thông các trang thiết bị sản xuất công nghệ cao… Vì
vậy, các công ty sản xuất lớn thƣờng phát triển các thiết bị điện tử dựa trên nền tảng
của các nhà sản xuất chíp và linh kiện điện tử lớn nhƣ Quancomm, Texas
Instruments, TI, Free Scale… Ở các nền tảng có sẵn, chất lƣợng các mạch tích hợp
đã đƣợc kiểm tra rất khắt khe bởi các công ty lớn sử dụng các phần mềm kiểm tra

- 12 -



chuyên dụng rất đắt đỏ. Một điều đặc biệt nữa đó là chính những công ty sản xuất
chíp lớn này cũng mua lại và kế thừa nền tảng thiết kế IP core của một nhà thiết kế
khác đã đƣợc kiểm chứng thiết kế đúng, hoạt động với hiệu năng cao và độ ổn định
đã đƣợc khẳng định thay vì phát triển một kiến trúc mới cho bộ vi xử lý. Một ví dụ
điển hình nhƣ hiện nay, phần lớn các công ty sản xuất chíp đều mua lại thiết kế kiến
trúc lõi ARM cho các bộ vi xử lý, vi điều khiển của họ. Qua đó, chúng ta thấy đƣợc
tầm quan trọng của việc kiểm chứng ảnh hƣởng lớn nhƣ thế nào trong quá trình
thiết kế và sản xuất các vi mạch tích hợp cao và ứng dụng của chúng trong thực
tiễn.
Tuy nhiên, các thiết bị, linh kiện này vẫn có thể có lỗi. Một ví dụ điển hình
nhƣ lỗi trong bộ chia dấu phẩy động FDIV trong bộ vi xử lý của Pentium đã làm
Intel tổn thất gần 500 triệu USD. Gần đây là lỗi antenna của điện thoại Iphone 4, lỗi
này đã gây khó chịu cho ngƣời sử dụng làm hãng Apple tiêu tốn khá nhiều tiền để
đổi vỏ máy cho rất nhiều khách hàng. Vì vậy, việc kiểm tra thiết kế phần cứng vi
mạch luôn là một vấn đề nóng đƣợc quan tâm một cách thỏa đáng trong lĩnh vực
thiết kế và sản xuất các thiết bị điện tử.
Để thiết kế và kiểm tra chất lƣợng các vi mạch, các hãng chế tạo và sản xuất
lớn sử dụng những bộ công cụ thiết kế, kiểm tra rất phức tạp và có giá thành rất cao.
Ví dụ nhƣ phần mềm thiết kế và kiểm tra của hãng Cadence hay Synopsys có giá trị
lên đến hàng trăm nghìn USD cho một năm sử dụng.
Trong lĩnh vực thiết kế vi mạch, việc nghiên cứu và phát triển các giải pháp,
các thuật toán cho phép tự động tổng hợp và kiểm tra thiết kế vi mạch luôn là chủ
đề nghiên cứu nóng bỏng đòi hỏi luôn có những ý tƣởng và phát minh mới trong
ngành công nghiệp vi mạch đang và sẽ tiếp tục phải đối mặt với thách thức lớn về
khoảng cách giữa khả năng về năng xuất sản xuất và khả năng thiết kế, kiểm tra nhƣ
minh họa trong hình 1.1 (International Technology Roadmap for Semiconductors ).

- 13 -



Hình 1.1: Khoảng cách giữa năng suất sản xuất và năng suất thiết kế vi mạch [8]
Công nghệ về vật liệu bán dẫn, công nghệ sản xuất vi mạch đang phát triển
bùng nổ cho phép sản xuất các vi mạch có độ tích hợp rất lớn lên đến con số hàng
trăm triệu phần tử logic trên một phiến silicon. Tuy nhiên, giải pháp thiết kế và
kiểm tra hiện nay chƣa phát triển đầy đủ để cho phép thiết kế và kiểm tra nhanh
chóng các hệ thống phức tạp nhƣ vậy. Do đó, các thuật toán tự động tổng hợp, tối
ƣu và kiểm tra vi mạch là vấn đề nhận đƣợc sự quan tâm đặc biệt sâu sắc của cộng
đồng nghiên cứu về thiết kế vi mạch. Tự động tổng hợp và tối ƣu cho phép ngƣời
thiết kế mô tả hệ thống ở mức trừu tƣợng cao hơn, sau đó thuật toán sẽ tự động
chuyển đổi mô hình ở mức cao thành các mô hình ở mức thấp và chi tiết hơn. Hành
động này giúp cho ngƣời thiết kế có thể xây dựng những hệ thống phức tạp hơn
trong thời gian ngắn và chính xác hơn. Quá trình kiểm tra nhằm đảm bảo tính đúng
đắn của các hoạt động, các yêu cầu chức năng trong vi mạch, tƣơng tự nhƣ gỡ lỗi,
kiểm tra các phần mềm, nhƣng đòi hỏi công sức lớn hơn và quá trình thực hiện khó
hơn do đặc thù của thiết kế hệ thống phần cứng. Khoảng cách giữa năng suất thiết
kế, kiểm tra và năng lực sản xuất vi mạch ngày càng đƣợc giãn rộng ra làm cho giá
thành kiểm tra phần cứng là phần lớn nhất, chiếm hơn 70% giá thành vi mạch [8].
- 14 -


Hơn nữa các hệ thống, thiết bị và linh kiện điện tử ngày nay đƣợc ứng dụng không
chỉ bao gồm các chip phần cứng chuyên biệt đặc thù mà còn bao gồm cả phần mềm
(firmware) đó chính là giải pháp hệ thống trên chíp (Solution System on Chip). Do
đó, càng làm độ phức tạp của bài toán kiểm tra hệ thống điện tử tăng cao, vƣợt quá
khả năng đáp ứng của các giải pháp thiết kế, kiểm tra hiện nay. Vì vậy, trong toàn
bộ giá thành thiết kế hệ thống trên chíp thì giá thành phát triển phần mềm và giá
thành kiểm tra trở thành hai phần lớn nhất và tăng trƣởng nhanh nhất (hình 1.2).

Hình 1.2: Giá thành thiết kế hệ thống trên chíp (Source: IBS, 2009)


- 15 -


1.1.2. Vị trí của kiểm chứng trong quá trình thiết kế vi mạch
Quá trình thiết kế là quá trình triển khai các mô tả kỹ thuật. Ở mức mô tả kỹ
thuật, các đặc điểm kỹ thuật nêu rõ các chức năng thiết kế phải thực hiện mà không
cho biết nó sẽ đƣợc thực hiện nhƣ thế nào. Quá trình triển khai sẽ giải thích rõ các
chi tiết của chức năng này sẽ đƣợc cung cấp nhƣ thế nào. Các mô tả kỹ thuật và
triển khai đều là hình thức mô tả về chức năng, nhƣng chúng có mức độ cụ thể hay
trừu tƣợng khác nhau. Mô tả kỹ thuật có mức trừu tƣợng cao hơn sự triển khai bởi
khi mô tả ở mức độ trừu tƣợng cao hơn sẽ có ít chi tiết hơn. Theo bậc thang mức
trừu tƣợng của thiết kế, chúng ta thấy rằng mực độ trừu tƣợng giảm dần theo thứ tự:
đặc điểm kỹ thuật của chức năng, mô tả các thuật toán, mức dịch chuyển thanh ghi
(RTL), gate netlist, transistor netlist và layout [10].

Functional specification

Algorithmic description

RTL

Độ trừu tƣợng
giảm dần

Độ chi tiết
tăng dần
Gate netlist

Transistor netlist


Physical layout
Hình 1.3: Bậc thang mức độ trừu tượng của thiết kế [10]

- 16 -


Kiểm chứng thiết kế là quá trình đi theo chiều ngƣợc lại của thiết kế. Kiểm
chứng thiết kế đƣợc bắt đầu từ việc khẳng định rằng sự thực hiện đáp ứng đúng
những thống số kỹ thuật của nó. Nhƣ vậy tại mỗi bƣớc thiết kế sẽ có một bƣớc xác
minh tƣơng ứng với nó. Ví dụ, một bƣớc thiết kế chuyển mô tả chức năng thành
việc thực hiện thuật toán đòi hỏi phải có một bƣớc kiểm chứng để đảm bảo rằng các
thuật toán sẽ thực hiện đúng các chức năng đã đƣợc mô tả. Tƣơng tự, một thiết kế
vật lý tạo ra layout từ một gate netlist cần phải đƣợc kiểm chứng để đảm bảo rằng
layout sẽ tƣơng đƣơng với gate netlist. Tóm lại, việc kiểm chứng thiết kế bao gồm
nhiều loại kiểm chứng nhƣ: kiểm chứng chức năng, kiểm chứng thời gian, kiểm
chứng layout, kiểm chứng về nguồn điện… Luận văn này sẽ đề cập đến kiểm chứng
về mặt chức năng và coi nó nhƣ việc kiểm chứng thiết kế. Hình 1.4 thể hiện mối
quan hệ giữa quá trình thiết kế và quá trình kiểm chứng [10].

Đặc điểm kỹ thuật

Kiểm tra thuộc tính

Vi kiến trúc

Thiết kế

Kiểm chứng

RTL


Gate

Kiểm tra tƣơng đƣơng

Layout

Hình 1.4: Quan hệ giữa quá trình thiết kế và kiểm chứng [10]

- 17 -


Kiểm chứng thiết kế có thể tiếp tục đƣợc chia thành hai loại kiểm chứng.
Loại thứ nhất là kiểm tra tƣơng đƣơng, chỉ ra rằng hai phiên bản thiết kế có chức
năng tƣơng đƣơng với nhau hay không. Ứng dụng phổ biến của kiểm tra tƣơng
đƣơng là so sánh hai phiên bản của mạch ở cùng một mức độ trừu tƣợng.
Tuy nhiên, hai phiên bản thiết kế thƣờng khác nhau về mức độ trừu tƣợng.
Ví dụ nhƣ: khi một phiên của thiết kế là ở mức đặc điểm kỹ thuật và ở phiên bản
khác là mức gate netlist. Khi hai phiên bản khác biệt là đáng kể về mức độ trừu
tƣợng thì chúng có thể sẽ không tƣơng đƣơng về chức năng, bởi vì việc thực hiện ở
cấp thấp hơn có thể có các thành phần đƣợc cho phép thực hiện, nhƣng không đƣợc
nói rõ ở mức độ trừu tƣợng cao hơn. Ví dụ, một sự triển khai có thể có các ràng
buộc về thời gian nhƣng lại không có trong mô tả kỹ thuật. Trong trƣờng hợp này,
chúng ta sẽ không kiểm tra tƣơng đƣơng về mặt chức năng của hai phiên bản mà
kiểm tra rằng sự triển khai có thỏa mãn mô tả kỹ thuật hay không. Kiểm tra tƣơng
đƣơng là kiểm chứng hai chiều, nhƣng trong trƣờng hợp này là kiểm chứng một
chiều vì mô tả kỹ thuật không thỏa mãn những tính năng chƣa đƣợc chỉ ra của sự
triển khai. Loại kiểm chứng này là implementation verification, property checking,
hoặc model checking.
1.2.


Phân loại lỗi và các kiểu kiểm chứng vi mạch phổ biến
Phân bổ các loại lỗi trong vi mạch đƣợc thể hiện trong biểu đồ hình 1.5.

.
Hình 1.5: Phân bổ các lỗi trong vi mạch (Mentor presentation metarial, 2003)

- 18 -


Biểu đồ đã chỉ ra rằng, các lỗi logic và lỗi chức năng chiếm một tỷ lệ lớn lên
tới 45%. Các lỗi logic là những lối rất khó phát hiện bởi chỉ có những ngƣời thực sự
hiểu về thiết kế và hệ thống thiết kế mới nhận ra dễ dàng hơn, trong khi phân bổ
nhân sự thì ngƣời thực hiện kiểm tra không phải là ngƣời thiết kế ra nó, do đó phải
có sự phân tích kỹ lƣỡng về thiết kế và sự phối hợp giữa thiết kế và kiểm chứng.
Trong nhiều trƣờng hợp, việc phát hiện ra lỗi là không thể. Việc kiểm tra thiết kế
bằng các phƣơng pháp hiện tại chƣa đáp ứng đƣợc các thiết kế ngày càng phức tạp.
Vì vậy, phải có những phƣơng pháp kiểm chứng mới phát hiện ra những lỗi này.
Biểu đồ trong hình 1.6 dƣới đây chỉ ra cụ thể các lỗi trong quá trình thiết kế
chip Pentium IV của Intel.

Hình 1.6: Phân bổ lỗi trong chíp Pentium 4 [8]

- 19 -


Bảng 1.1: Phân bổ lỗi trong chíp Pentium 4 [Bentley2002]
Tên lỗi

Tỷ


Chú thích

%

Lỗi ngớ ngẩn: đánh máy, cắt-dán, viết code thiếu

Goof

cẩn thận

Miscommunication
Microarchitecture
Logic/Microcode

Những kỹ sƣ thiết kế, kỹ sƣ kiến trúc không hiểu
nhau
Thiếu, lỗi trong định nghĩa vi kiến trúc
Lỗi khi thay đổi code để sửa lỗi, sửa vấn đề về
timing
Các trƣờng hợp đặc biệt không đƣợc triển khai

Corner cases

đúng

Power down issues

lệ


Các đặc điểm tiết kiệm điện bằng cách tắt xung
đồng hồ

12.70%

11.40%
9.30 %
9.30%

8%

5.70%

Documentation

Tài liệu không đúng không hoàn chỉnh

4.40%

Complexity

Vi kiến trúc quá phức tạp

3.90%

Các trạng thái không đƣợc xóa hoặc khởi tạo đúng

3.40%

Random

initialization
Late definition
Incorrect

Các đặc điểm không có ở vi kiến trúc ban đầu,
đƣợc thêm vào sau

RTL Các điểm kiểm tra khẳng định sai, bị làm sai khi

assertions

sửa lỗi

2.80%

2.80%

Ngƣời thiết kế hiểu sai phần cần triển khai, do
Design mistake

không đọc hoặc đọc không đầy đủ bản yêu cầu kỹ 2.60%
thuật

Sự phân bố không đều các nguyên nhân gây ra lỗi đƣợc chỉ ra với tỷ lệ cao
nhất là các lỗi ngớ ngẩn chiếm 12.70% do đánh máy, viết code thiếu cẩn thận trọng
khi chỉ có 2.6% lỗi do hiểu sai thiết kế. Đây là hai lỗi hoàn toàn có thể cải thiện

- 20 -



đƣợc nhờ sự cẩn thận và tỉ mỉ của kỹ sƣ thiết kế. Lỗi do các kỹ sƣ thiết kế không
hiểu nhau cũng có tỷ lệ khá cao tới 11.4%, thấp hơn một chút. Hai lỗi do định nghĩa
kiến trúc và thay đổi code để sửa lỗi timing đứng ở vị trí thứ ba với 9.3%. Những
lỗi này thƣờng khó phát hiện và cần đầu tƣ công sức lớn trong quá trình thiết kế.
Ngoài ra, nhƣ là kết quả của việc có những trƣờng hợp đặc biệt trong quá trình thiết
kế, các kỹ sƣ thƣờng bỏ qua và không triển khai nó. Đây là lỗi cũng chiếm tỷ lệ khá
cao với 8%. Theo sau là các lỗi do tài liệu không hoàn chỉnh, vi kiến trúc quá phức
tạp, hoặc nhƣ việc kiểm tra sai sót. Bất kỳ lỗi nào dù nhỏ cũng sẽ là thách thức với
các kỹ sƣ thiết kế (ITRS: International Technology Roadmap for Semiconductors).

Hình 1.7: Các loại kiểm chứng và phân bổ công sức kiểm chứng [ITRS, 1999]
Hình 1.7 thể hiện sự phân loại của các loại kiểm chứng và phân bố công sức
kiểm chứng tƣơng ứng với chúng [8]. Kiểm chứng hệ thống là sự xác minh các
chức năng của hệ thống có hoạt động đúng theo yêu cầu và mô tả trong tài liệu hay
không. Từ biểu đồ, ta nhận thấy rằng đây là loại kiểm chứng khó khăn và tốn kém
nhất, nó chiếm tới 40% công sức kiểm tra.

- 21 -


1.3.

Các nguyên tắc cơ bản của kiểm chứng vi mạch
Có hai loại lỗi cơ bản trong thiết kế. Thứ nhất là lỗi không tồn tại trong các

chi tiết kỹ thuật nhƣng lại xuất hiện trong quá trình triển khai thực hiện. Ví dụ nhƣ
lỗi của con ngƣời trong việc giải thích các chức năng thiết kế. Để loại bỏ lỗi này,
chúng ta có thể sử dụng bằng phần mềm tự động tổng hợp thực hiện trực tiếp từ các
chi tiết kỹ thuật. Mặc dù phƣơng pháp này loại bỏ hầu hết các lỗi chủ quan của con
ngƣời nhƣng lỗi vẫn có thể bị bỏ sót do lỗi của chƣơng trình phần mềm, hoặc lỗi do

quá trình sử dụng các chƣơng trình phần mềm. Mặt khác, cách tiếp cận tổng hợp
trong thực tế vẫn còn hạn chế với các lý do sau:
Thứ nhất, do các chi tiết kỹ thuật đƣợc mô tả trong ngôn ngữ giao tiếp tự
nhiên, thông thƣờng nhƣ tiếng Anh khác xa so với sự mô tả và diễn đạt của các
ngôn ngữ có tính chất toán học chính xác, logic, chẳng hạn nhƣ Verilog, C hoặc
C++…Chúng ta biết rằng tự động tổng hợp từ một ngôn ngữ không chặt chẽ là
không khả thi. Trong thực tế, không có ngôn ngữ bậc cao nào đƣợc dùng để mô tả
những yêu cầu về cả chức năng và thời gian.
Thứ hai, ngay cả khi các chi tiết kỹ thuật đƣợc viết bằng một ngôn ngữ toán
học chính xác, không có nhiều phần mềm có thể tổng hợp đƣợc triển khai đáp ứng
tất cả các yêu cầu. Thông thƣờng, chƣơng trình phần mềm có thể tổng hợp đƣợc
một tập hợp các chức năng chi tiết kỹ thuật nhƣng không đáp ứng đƣợc yêu cầu về
mặt thời gian.
Một phƣơng pháp đƣợc sử dụng rộng rãi để phát hiện ra loại lỗi này là sử
dụng nhiều cách tiếp cận khác nhau đối với cùng một mô tả kỹ thuật. Kết quả nhận
đƣợc từ những cách tiếp cận sẽ đƣợc so sánh với nhau. Về mặt lý thuyết, thống kê
thì việc các chi tiết kỹ thuật đƣợc thực hiện nhiều lần bằng các cách tiếp cận khác
nhau sẽ cho kết quả chính xác hơn. Nhƣng trong thực tế chỉ sử dụng một số cách
tiếp cận cơ bản vì chi phí và thời sẽ tăng lên đáng kể khi thực hiện đa dạng các cách
và phƣơng pháp tiếp cận.
Loại lỗi thứ hai tồn tại ngay bên trong các chi tiết kỹ thuật. Nó có thể là các
chức năng không đƣợc xác định, các yêu cầu đƣa ra mâu thuẫn nhau và các tính

- 22 -


năng không thực hiện đƣợc. Cách duy nhất để phát hiện các loại hình báo lỗi này là
thông qua dự phòng, bởi vì đặc điểm kỹ thuật là ở trên cùng của bậc thang phân cấp
độ trừu tƣợng, do đó không có mô hình tham chiếu dựa vào đó để kiểm tra. Trong
thực tế, một hình thức kiểm chứng thông qua dự phòng là hoạt động thảo luận của

đội ngũ kỹ sƣ thiết kế kiến trúc, xem xét, phân tích, đƣa ra những thống nhất và
thỏa hiệp về chức năng và các chi tiết kỹ thuật.
Dựa trên những phân tích cốt lõi bên trên ta có thể đƣa ra các nguyên tắc cơ
bản của kiểm chứng vi mạch. Nhƣ chúng ta đã biết, quá trình thiết kế có thể hiểu là
một quá trình biến đổi các mô tả kỹ thuật thành sự triển khai. Nguyên tắc cở bản
của việc kiểm chứng bao gồm hai bƣớc chính:
Bƣớc thứ nhất, các mô tả kỹ thuật sẽ đƣợc biến đổi thành sự triển khai.
Trong bƣớc thứ hai, kết quả kiểm chứng đƣợc so sánh với kết quả từ thiết kế để
phát hiện lỗi. Quá trình này đƣợc thể hiện trong hình 1.8 đƣới đây [10].

Quy trình thiết kế
Thiết kế

Đặc điểm kỹ

Tƣơng đƣơng ?

Thiết kế thay
Quy trình kiểm
Hình 1.8: Nguyên tắc cơ bản của kiểm chứng [10]
Một điều dễ nhận thấy, nếu các kỹ sƣ kiểm chứng cũng đi qua các bƣớc
giống nhƣ những kỹ sƣ thiết kế, có thể cả hai sẽ có những kết quả tƣơng tự nhau và
cũng phạm phải những lỗi giống nhau. Do đó, nếu thiết kế và kiểm chứng đi theo

- 23 -


những con đƣờng càng khác biệt nhau thì độ tin cậy của việc kiểm chứng sẽ càng
đƣợc tăng lên. Một cách làm khác để đạt đƣợc độ tin cậy cao trong kiểm chứng là
chuyển đổi các đặc điểm chi tiết kỹ thuật thành một mô hình thực hiện bằng một

ngôn ngữ khác với ngôn ngữ dùng để thiết kế. Ngôn ngữ này đƣợc gọi là ngôn ngữ
kểm chứng (verification language). Các ngôn ngữ xác minh phổ biến nhƣ: Vera, C,
C++. Một chiến lƣợc kiểm chứng có thể dùng C/C++ cho mô hình kiểm chứng, và
sử dụng Veriglog/VHDL cho mô hình thiết kế. Chúng ta nhận thấy rằng mô hình
kiểm chứng dùng mô phỏng phù hợp với các nguyên tắc kiểm chứng. Một mô hình
kiểm chứng dùng mô phỏng bao gồm bốn thành phần: mạch, các mẫu kiểm tra, đầu
ra tham chiếu và một cơ chế so sánh. Mạch sẽ đƣợc mô phỏng trên các mẫu kiểm
tra và kết quả đƣợc so sánh với đầu ra tham chiếu. Hình 1.9 đƣới đây thể hiện mô
hình kiểm chứng dùng mô phỏng [10].

Thiết kế

Đặc điểm kỹ

Đầu ra

Tƣơng đƣơng ?

Đầu vào

Đầu ra tham chiếu

“Thiết kế thay thế”

Hình 1.9: Mô hình kiểm chứng dùng mô phỏng [10]

- 24 -


1.4.


Các phƣơng pháp kiểm chứng hiện có

1.4.1. Kiểm tra tƣơng đƣơng
Kiểm tra tƣơng đƣơng (Equivalence checking) là việc xác minh sự tƣơng
đƣơng về chức năng giữa hai mô hình có thiết kế tƣơng tự nhau. Các mô hình có
chức năng tƣơng đƣơng với nhau nếu với cùng một mẫu đầu vào chúng sẽ cho các
đầu ra giống nhau. Một trong hai mô hình sẽ đƣợc coi nhƣ mô hình ban đầu, và
đƣợc giả thuyết là mô hình đúng. Mô hình còn lại là kết quả sau khi tiến hành các
bƣớc thay đổi và tối ƣu. Các bƣớc thay đổi và tối ƣu này có thể đƣợc thực hiện một
cách thủ công bởi ngƣời thiết kế hoặc thực hiện một cách tự động bởi các công cụ
tổng hợp. Các hành động này có thể xảy ra lỗi trong thiết kế do sai sót của con
ngƣời hoặc do lỗi của công cụ tự động. Vì vậy, chúng ta cần phải kiểm tra và khẳng
định đƣợc rằng các mô hình đƣợc tạo ra sau mỗi bƣớc thiết kế đều không thay đổi
chức năng. Nhiệm vụ của kiểm tra tƣơng đƣơng là để đảm bảo tính chính xác của
tất cả các bƣớc chuyển đổi tự động hoặc thủ công đƣợc áp dụng trong thiết kế.
Kỹ thuật kiểm tra tƣơng đƣơng đã đƣợc nghiên cứu, phát triển và ứng dụng
trong những năm gần đây. Một trong những kỹ thuật thành công đầu tiên đƣợc đề
xuất bởi Kunz cho thiết kế tổ hợp và đƣợc mởi rộng bởi Stoffel cho thiết kế theo
trình tự. Kỹ thuật này đƣợc dựa trên thực tế do có nhiều điểm tƣơng đồng giữa cấu
trúc của mô hình ban đầu và mô hình thay đổi. Các điểm tƣơng đồng đƣợc khai thác
để phân tích và chứng minh sự tƣơng đƣơng giữa hai mô hình. Những kỹ thuật kiểm
tra tƣơng đƣơng đã đƣợc áp dụng thành công để kiểm chứng cho những thiết kế lớn
bao gồm hàng triệu cổng logic. Hiện nay, chúng đã trở thành những thành phần tiêu
chuẩn trong hầu hết các quy trình thiết kế công nghiệp.
1.4.2. Kiểm tra mô hình
Kiêm tra thuộc tính đƣợc sử dụng để xác minh các hành vi dự định của thiết
kế. Khi bắt đầu quá trình thiết kế, một mô hình ban đầu của thiết kế đƣợc tạo ra từ
các đặc điểm kỹ thuật không chính thức. Từ đặc điểm kỹ thuật này, ngƣời thiết kế
cũng có thể xây dựng những thuộc tính mà thiết kế muốn thực hiện. Các thuộc tính

đƣợc kiểm chứng sẽ hợp lệ cho các mô hình ban đầu của thiết kế.

- 25 -


×