Tải bản đầy đủ (.pdf) (80 trang)

Nghiên cứu và thiết kế khối VCO ứng dụng cho thiết bị thu của hệ thống GNSS

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (4.04 MB, 80 trang )

MỤC LỤC
LỜI CẢM ƠN ............................................................................................................. 5
DANH MỤC CÁC KÝ HIỆU VIẾT TẮT.................................................................. 6
DANH MỤC CÁC BẢNG BIỂU ............................................................................... 8
DANH MỤC CÁC HÌNH VẼ..................................................................................... 9
CHƢƠNG 1 - GIỚI THIỆU HỆ THỐNG ĐỊNH VỊ TOÀN CẦU .......................... 12
1.1 Giới thiệu chung .............................................................................................12
1.2 Hệ thống GPS .................................................................................................13
1.3 Hệ thống GLONASS ......................................................................................13
1.4 Hệ thống GALILEO .......................................................................................14
1.5 Sơ đồ khối máy thu GNSS ..............................................................................15
1.6 Khối dao động điều khiển bằng điện áp VCO ................................................16
1.7 Kết luận Chƣơng 1 ..........................................................................................16
CHƢƠNG II – CÁC CHỈ TIÊU KỸ THUẬT VÀ THÔNG SỐ CHÍNH CỦA KHỐI
DAO ĐỘNG ĐIỀU KHIỂN BẰNG ĐIỆN ÁP VCO ............................................... 17
2.1 Tìm hiểu lý thuyết về VCO ............................................................................17
2.1.1 Tần số dao động ......................................................................................18
2.1.2 Lý thuyết điện trở âm ..............................................................................19
2.1.3 Điều kiện để mạch dao động...................................................................20
2.1.4 Tạp âm pha ..............................................................................................21
2.1.5 Hệ số phẩm chất của cuộn cảm, tụ điện. .................................................24
2.1.5.1 Hệ số phẩm chất của cuộn cảm .......................................................24
2.1.5.2 Hệ số phẩm chất của tụ điện ............................................................25
2.1.6 Tần số trung tâm .....................................................................................25
2.1.7 Hệ số chất lƣợng của mạch dao động (FOM: Fingure of Merit) ............25
2.1.8 Độ nhạy điều khiển của mạch dao động .................................................26
2.1.9 Độ biến thiên độ nhạy điều khiển ...........................................................27
2.1.10 Công suất tiêu thụ của mạch dao động .................................................27

1



2.1.11 Các mạch dao động cơ bản ...................................................................27
2.1.11.1 Mạch dao động LC ........................................................................27
2.1.11.2 Mạch dao động ba điểm (Hartley hoặc Colpitts) ..........................28
2.1.11.3 Mạch dao động vòng (Ring Oscillators). ......................................31
2.1.11.4 Mạch dao động dùng cặp transistor đấu chéo ...............................32
2.2 Phân tích một vài mạch dao động VCO .........................................................33
2.2.1 Mạch VCO của nhóm tác giả Peiming et al. (bài báo 1) ........................33
2.2.1.1 Thiết kế mạch của bài báo 1 ............................................................33
2.2.1.2 Mô hình tạp âm pha sử dụng của bài báo 1 .....................................33
2.2.1.3 Sơ đồ mạch chi tiết của bài báo 1 ....................................................34
2.2.1.4 Mô phỏng và kết quả của bài báo 1 .................................................35
2.2.2 Mạch VCO của tác giả Marc Tiebout et al. (bài báo 2) ..........................37
2.2.2.1 Lý thuyết về công suất tiêu thụ và tạp âm pha thấp của bài báo 2 ..37
2.2.2.2 Thiết kế mạch của bài báo 2 ............................................................38
2.2.2.3 Phần tổng hợp và mô phỏng của bài báo 2 ......................................40
2.3 Kết luận Chƣơng 2 ..........................................................................................42
CHƢƠNG III - THIẾT KẾ KHỐI VCO ỨNG DỤNG TRONG BỘ THU GNSS .. 44
3.1 Các chỉ tiêu thiết kế của khối VCO ................................................................44
3.2 Chọn mạch LC-VCO ......................................................................................45
3.3 Thiết kế và mô phỏng mạch LC-VCO đã lựa chọn ........................................48
3.3.1 Lựa chọn thông số của tụ có điện dung thay đổi (Varactor)...................49
3.3.2 Lựa chọn thông số cuộn cảm ..................................................................54
3.3.3 Thiết kế và tối ƣu khối LC ......................................................................59
3.3.4 Thiết kế và mô phỏng mạch dao động LC-VCO hoàn chỉnh .................61
3.3.4.1 Lựa chọn các thông số Transitor của mạch bù năng lƣợng .............61
3.3.4.2 Phân tích, mô phỏng và tối ƣu mạch hoàn chỉnh ............................64
3.3.4.3 Tối ƣu nguồn dòng ..........................................................................66
3.3.4.4 Tính hệ số KVCO ............................................................................69
3.3.4.5 Tính công suất tiêu thụ của toàn mạch ............................................70

2


3.3.4.6 Tính tạp âm pha của hệ thống..........................................................71
3.3.4.7 Tính hệ số chất lƣợng của mạch ......................................................73
3.4 Kết quả cuối cùng sau khi tối ƣu các phần mạch dao động............................73
3.5 Kết luận Chƣơng 3 ..........................................................................................76
KẾT LUẬN CHUNG VÀ ĐỊNH HƢỚNG PHÁT TRIỂN ...................................... 79
TÀI LIỆU THAM KHẢO......................................................................................... 80

3


LỜI CAM ĐOAN
Tôi là Phùng Ngọc Sơn, xin cam đoan đây là công trình nghiên cứu của riêng
tôi. Các số liệu, kết quả nêu trong Luận văn là trung thực và chƣa từng đƣợc ai công
bố trong bất kỳ công trình nào khác.

Hà nội, ngày tháng năm 2015

Phùng Ngọc Sơn

4


LỜI CẢM ƠN
Luận văn Thạc sỹ Kỹ thuật đƣợc nghiên cứu và hoàn thành tại Viện Đào tạo sau Đại
học thuộc Trƣờng Đại học Bách khoa Hà Nội
Đầu tiên, tôi xin chân thành cảm ơn TS. Phạm Nguyễn Thanh Loan đã trực tiếp
giảng dạy, hƣớng dẫn và tạo mọi điều kiện thuận lợi cho tôi trong suốt quá trình

nghiên cứu và thực hiện báo cáo Luận văn. Cô đã thƣờng xuyên kiểm tra, động
viên, khích lệ và định hƣớng nghiên cứu cũng nhƣ cử sinh viên giúp đỡ tôi trong
việc sử dụng, mô phỏng mạch bằng phần mềm Cadence trên LAB để tô có thể hoàn
thành tốt Luận văn tốt nghiệp này.
Trong quá trình học tập và thực hiện Luận văn Tốt nghiệp Thạc sỹ tại Đại học Bách
khoa Hà Nội, tôi cũng xin chân thành cảm ơn các Thầy, Cô trong Viện Đào tạo sau
Đại học, các Thầy Cô đã trực tiếp giảng dạy, giúp đỡ tôi hoàn thành tốt Chƣơng
trình học tập và Luận văn Tốt nghiệp này.
Đối với tôi, đây là một đề tài khó, thời gian thực hành nghiên cứu mạch không dài,
việc sử dụng phần mềm mô phỏng cũng hạn chế nên không tránh đƣợc những thiếu
sót, rất mong các Thầy, Cô và các Bạn đóng góp ý kiến, giúp cho bản Luận văn
đƣợc hoàn chỉnh hơn.
Tôi cũng xin gửi lời cảm ơn đến các bạn học, các đồng nghiệp đã tạo điều khiện
giúp đỡ tôi hoàn thành Luận văn.

Hà nội, ngày tháng

năm 2015

Học Viên: Phùng Ngọc Sơn

5


DANH MỤC CÁC KÝ HIỆU VIẾT TẮT
Chữ viết tắt

Chữ đầy đủ

Ý nghĩa


AGC

Automatic Gain Controller

Bộ khuếch đại tự động điều
chỉnh hệ số khuếch đại

A/D

Analog to Digital Converter

Bộ chuyển đổi tƣơng tự - số

C

C

Điện dung

CMOS

Complementary Metal-Oxide

Công nghệ bán dẫn Oxit bù

Semiconductor

kim loại


FOM

Figure of Merit

Hệ số chất lƣợng

Gm

Gm

Hỗ dẫn

GNSS

Global Navigation Satellite System Hệ thống vệ tinh định vị toàn
cầu

GPS

Global Positioning System

Hệ thống định vị

IF

Intermediate Frequence

Tần số trung tần

L


L

Độ tự cảm

LNA

Low-Noise Amplifier

Bộ khuếch đại tạp âm thấp

LO

Local Oscillator

Bộ dao động nội

MOSFET

Metal oxide semiconductor field-

Transistor hiệu ứng trƣờng

effect Transistor

kênh cảm ứng.

Negative Metal Oxide

Transistor hiệu ứng trƣờng


Semiconductor

loại N

P

Power

Công suất

Phase noise

Phase noise

Tạp âm pha

PMOS

Posistive Metal Oxide

Transistor hiệu ứng trƣờng

Semiconductor

loại P

Pnoise

Periodic Noise Analysis


Phân tích tạp âm theo chu kỳ

PSS

Periodic Steady State Analysis

Chế độ phân tích trạng thái ổn

NMOS

6


định tuần hoàn
Q

Quality factor

Hệ số phẩm chất

RF

Radio Frequence

Tần số Radio

Varactor

Variable Capacitor


Tụ điện biến dung

Vbias

Bias Voltage

Điện áp phân cực nguồn dòng

VCO

Voltage Controlled Oscillator

Khối dao động điều khiển
bằng điện áp

Vcont

Voltage Control

Điện áp điều khiển

VDC

Voltage Dirrect current

Điện áp1 chiều

Vth


Threshold voltage

Điện áp ngƣỡng của transistor

7


DANH MỤC CÁC BẢNG BIỂU

Bảng 2.1: Bảng tổng hợp các tham số mạch bài báo 1 ............................................. 36
Bảng 2.1: Các thông số cần tối ƣu của mạch LC ...................................................... 38
Bảng 3.1: Các thông số cơ bản của mạch dao động VCO ........................................ 44
Bảng 3.2: Bảng so sánh các ƣu nhƣợc điểm các mạch dao động ............................. 46
Bảng 3.3: Bảng thông số của Varactor ..................................................................... 54
Bảng 3.4: Bảng thông số cuộn cảm........................................................................... 55
Bảng 3.5: Thông số cuộn cảm L sau mô phỏng ........................................................ 58
Bảng 3.6: Bảng mối quan hệ giữa L, C, Q và F ........................................................ 58
Bảng 3.7: Bảng mối quan hệ giữa L, Rp, C, Q và F ................................................. 61
Bảng 3.8: Kích thƣớc transistor của mạch ................................................................ 63
Bảng 3.9: Thông số cuộn cảm trong mạch hoàn chỉnh ............................................. 65
Bảng 3.10: Thông số Varactor trong mạch hoàn chỉnh ............................................ 65
Bảng 3.11: Thông số Varactor sau chỉnh Finger ...................................................... 66
Bảng 3.12: Thông số hình học của L và C sau điều chỉnh để đạt phase noise ......... 72
Bảng 3.13: Bảng tổng hợp các thông số của Transistor............................................ 74
Bảng 3.14: Bảng thông số cuộn cảm L và tụ có điện dung thay đổi C ..................... 74
Bảng 3.15: Bảng thông số hoạt động của mạch ........................................................ 74
Bảng 3.16: Bảng mối quan hệ giữa các thông số mạch ............................................ 76
Bảng 3.17: Bảng so sánh thông số của các mạch dao động ...................................... 76
Bảng 3.18: So sánh các tham số của luận văn với bài báo 1 và bài báo 2 ................ 77


8


DANH MỤC CÁC HÌNH VẼ
Hình 1.1: Các hệ thống định vị toàn cầu và quỹ đạo của chúng ............................... 12
Hình 1.2: Hệ thống định vị toàn cầu GPS ................................................................. 13
Hình 1.3: Hệ thống định vị toàn cầu GLONASS...................................................... 14
Hình 1.4: Hệ thống định vị toàn cầu GALILEO ....................................................... 14
Hình 1.5: Sơ đồ khối máy thu GNSS ........................................................................ 15
Hình 1.6: Sơ đồ nguyên lý mạch dao động VCO ..................................................... 16
Hình 2.1: Sơ đồ mạng hồi tiếp tuyến tính đơn giản .................................................. 17
Hình 2.2: Điều kiện pha của bộ dao động ................................................................. 18
Hình 2.3: Sơ đồ mạch lựa chọn tần số ...................................................................... 18
Hình 2.4: Mạch dao động RLC ................................................................................. 18
Hình 2.5: Mô hình điện trở âm.................................................................................. 19
Hình 2.6: Mạch tính toán điện trở âm ....................................................................... 19
Hình 2.7: Mạch biểu diễn điện trở âm tƣơng dƣơng................................................. 20
Hình 2.8: Mạch dao động cân bằng điện trở ............................................................. 20
Hình 2.9: Tín hiệu dao động có ảnh hƣởng của tạp âm pha ..................................... 22
Hình 2.10: Biểu diễn tạp âm pha trong miền tần số.................................................. 22
Hình 2.11: Đặc tính điện áp – tần số (V-W) của bộ dao động VCO lý tƣởng .......... 26
Hình 2.12: Sơ đồ mạch dao động LC tƣơng đƣơng .................................................. 28
Hình 2.13: Sơ đồ dao động transistor đơn ................................................................ 29
Hình 2.14: Mạch đao động có chuyển đổi trở kháng ................................................ 30
Hình 2.15: Mạch dao động 3 điểm điện cảm (Hartley) ............................................ 30
Hình 2.16: Mạch dao động 3 điểm điện dung (Colpitts) .......................................... 30
Hình 2.17: Mạch dao động vòng 3 tầng .................................................................... 31
Hình 2.18: Mạch dao động crossed-coupled có nguồn dòng .................................... 32
Hình 2.19: Mạch dao động tạp âm thấp của bài báo 1 .............................................. 34
Hình 2.20: Sơ đồ layout mạch tạp âm pha thấp bài báo 1 ........................................ 35

Hình 2.21: Đặc tính V-F của mạch dao động VCO tạp âm thấp bài báo 1............... 36

9


Hình 2.22: Đặc tuyến tạp âm pha của bộ dao động bài báo 1................................... 36
Hình 2.23: Sơ đồ mạch dao động một tầng đơn........................................................ 40
Hình 2.24: Sơ đồ mạch dao động cầu phƣơng .......................................................... 41
Hình 2.25: dải điều chỉnh điện áp dạng 3D............................................................... 41
Hình 2.26: Đặc tính tạp âm pha của mạch VCO bài báo 2 ....................................... 42
Hình 3.1: Sơ đồ mạch dao động VCO đấu chéo cặp ................................................ 48
Hình 3.2: Các bƣớc thực hiện thiết kế mạch LC-VCO ............................................. 49
Hình 3.3: Sơ đồ mô phỏng đặc tính C-V của Varactor ............................................. 50
Hình 3.4: Đặc tính C-V mô phỏng đƣợc khi Vtune nối với cực G ........................... 51
Hình 3.5: Đặc tính V-C mô phỏng đƣợc khi Vtune nối với cực SD ......................... 52
Hình 3.6: Sự phụ thuộc kích thƣớc Varactor đến diện dung Cg ............................... 53
Hình 3.7: Sơ đồ mạch mô phỏng thông số cuộn cảm ............................................... 54
Hình 3.8: Cuộn cảm hình xoắn vuông ...................................................................... 55
Hình 3.9: Hệ số Q của cuộn cảm ở các vòng xoắn khác nhau, độ rộng 3U.............. 56
Hình 3.10: Hệ số Q của cuộn cảm ở các vòng xoắn khác nhau, độ rộng 9U............ 56
Hình 3.11: Hệ số Q của cuộn cảm ở các vòng xoắn khác nhau, độ rộng 15U.......... 57
Hình 3.12: Hệ số Q của cuộn cảm ở các vòng xoắn khác nhau, độ rộng 30U.......... 57
Hình 3.13: Mạch mô phỏng khối LC ........................................................................ 60
Hình 3.14: Dạng sóng thế hiện trở kháng của mạch LC).......................................... 60
Hình 3.15: Sơ đồ khối mạch dao động LC VCO ...................................................... 62
Hình 3.16: Mạch dao động LC-VCO hoàn chỉnh ..................................................... 63
Hình 3.17: Tần số và dạng sóng dao động của mạch ................................................ 64
Hình 3.18: Dạng sóng dao động LC-VCO ................................................................ 66
Hình 3.19: Đƣờng đặc tính f-V của mạch dao động LC-VCO ................................. 67
Hình 3.20: Dạng sóng dao động ứng với các giá trị điện áp phân cực nguồn dòng

khác nhau................................................................................................................... 68
Hình 3.21: Đặc tính điện áp tần số ở Vbias = 2V ..................................................... 68
Hình 3.22: Đặc tuyến Vbias và tần số dao động f..................................................... 69
Hình 3.23: Đƣờng cong mô phỏng Kvco .................................................................. 70

10


Hình 3.24: Mô phỏng công suất tiêu thụ toàn mạch ................................................. 71
Hình 3.25: Tạp âm pha của mạch LC VCO .............................................................. 72
Hình 3.26: Giá trị tạp âm pha của mạch sau điều chỉnh L, C ................................... 73
Hình 3.27: Sơ đồ mạch dao động LC-VCO hoàn thiện ............................................ 75
Hình 3.28: Dạng sóng dao động đầu ra ..................................................................... 75
Hình 3.29: Dải tần số hoạt động của mạch LC-VCO sau tinh chỉnh ........................ 76

11


CHƢƠNG 1 - GIỚI THIỆU HỆ THỐNG ĐỊNH VỊ TOÀN CẦU
1.1 Giới thiệu chung
Ngày nay, hệ thống định vị đã đƣợc trang bị trên rất nhiều loại thiết bị, từ thiết
bị cầm tay cá nhân nhƣ smart phone, đồng hồ, kính mắt ảo cho đến các thiết di
chuyển gồm cả quân sự và dân sự, phục vụ nhiều mục đích khác nhau nhƣ nghiên
cứu, thám hiểm, đo đạc, vẽ bản đồ số và quốc phòng. Các thiết bị định vị hoạt động
dựa trên hệ thống định vị toàn cầu GPS (Global Positioning System) đƣợc Mỹ phát
triển ban đầu dành cho mục đích quân sự, trải qua nhiều thế hệ phát triển và nâng
cấp, hiện nay hệ thống này đã cung cấp dịch vụ cho cả các lĩnh vực khác. Vệ tinh
đầu tiên của Mỹ đƣợc đƣa lên quỹ đạo vào năm 1978, nó đƣợc thiết kế để có thể
hoạt động đƣợc tối đa 15 năm, công suất phát khoảng 50watts. Bên cạnh hệ thống
GPS còn có các hệ thống định vị khác đƣợc phát triển bởi các quốc gia nhƣ Nga

(GLONASS - Global Orbiting Navigation Satellite System - Hệ thống vệ tinh dẫn
đƣờng quỹ đạo toàn cầu), Châu Âu (GALILEO – lấy tên một nhà thiên văn học),
Nhật (QZSS - Quasi-Zenith Satellite System – Hệ thống vệ tinh quỹ đạo số 8), Ấn
Độ (IRNSS – Indian Regional Navigational Satellite System).

Hình 1.1: Các hệ thống định vị toàn cầu và quỹ đạo của chúng

Các hệ thống định vị vệ tinh khác nhau thì dải tần hoạt động cũng khác nhau
và ngày nay chúng đƣợc gọi với tên chung là GNSS (Global Navigation Satellite
System - Hệ thống vệ tinh dẫn đƣờng toàn cầu)
12


1.2 Hệ thống GPS
Là hệ thống định vị toàn cầu do Bộ quốc phòng Mỹ thiết kế và điều hành, và
thƣờng gọi GPS là NAVSTAR GPS (Navigation Signal Timing and Ranging
Global Positioning System). Mọi ngƣời đều có thể sử dụng GPS miễn phí với độ
chính xác bị giới hạn bởi các bộ gây sai số chủ động.

Hình 1.2: Hệ thống định vị toàn cầu GPS

Vệ tinh đầu tiên của GPS đƣợc phóng vào năm 1978 và đạt đến 24 vệ tinh
(năm 1994) chuyển động trong 6 mặt phẳng quỹ đạo, hoạt động ở 1575.42 MHz
trong dải UHF với công suất phát khoảng 50watts. Để xác định đƣợc chính xác vị
trí của máy thu GSP mặt đất thì tại một thời điểm máy thu phải thu đƣợc ít nhất tín
hiệu từ 3 vệ tinh.
1.3 Hệ thống GLONASS
Là hệ thống định vị toàn cầu do Nga thiết kế và điều hành. Hệ thống
GLONASS bao gồm 30 vệ tinh chuyển động trong ba mặt phẳng quỹ đạo xung
quanh trái đất với bán kính 25.510 km.

Hoạt động ở dải tần 1,2Ghz và 1,6Ghz bao gồm hai tín hiệu định vị chính xác
(ch) và tín hiệu định vị chính xác cao với độ chính xác và tin cậy 99,7%.

13


Hình 1.3: Hệ thống định vị toàn cầu GLONASS

1.4 Hệ thống GALILEO
Cả hai hệ thống GPS và GLONASS hầu nhƣ đƣợc sử dụng chính cho mục
đích quân sự. Đối với những ngƣời sử dụng thông thƣờng có thể có sai số lớn nếu
nhƣ cơ quan điều hành GPS và GLONASS kích hoạt bộ phận gây sai số chủ định.
Do vậy Liên minh Châu Âu (EU) đã lên kế hoạch thiết kế và điều hành hệ thống
định mới mang tên của một nhà thiên văn học GALILEO. Việc nghiên cứu dự án hệ
thống GALILEO đƣợc thực hiện từ năm 1999 do 4 quốc gia Pháp, Đức, Italia và
Anh [1]

Hình 1.4: Hệ thống định vị toàn cầu GALILEO

GALILEO đƣợc thiết kế gồm 30 vệ tinh chuyển động trong 3 mặt phẳng quỹ
đạo nghiêng 55 độ xung quanh trái đất với bán kính 29.980 km, trong đó có 27 vệ

14


thinh hoạt động thực sự, 3 về tinh dự phòng. Ngày nay chúng ta gọi chung các hệ
thống định vị toàn cầu trên thế giới là hệ thống GNSS.
1.5 Sơ đồ khối máy thu GNSS
GNSS đang dần đƣợc sử dụng để thay thế cho thuật ngữ GPS trên thế giới.
Các tổ chức, hội thảo, hội nghị về công nghệ định vị đã đổi dần sang sử dụng thuật

ngữ GNSS thay vì GPS nhƣ xƣa.
Tuy nhiên trong suốt thời gian dài, GPS vẫn là lựa chọn của số đông ngƣời sử
dụng và các nhà xản suất thiết bị trên thế giới do tính mở và tính hoàn thiện của các
hệ thống định vị toàn cầu khác muộn hơn GPS, ngày nay với sự nâng cấp lớn cộng
với kết hợp với các hệ thống định vị khác (Galileo của EU), GPS đang có những cải
thiện về khả năng tích hợp và độ chính xác của dữ liệu mà hệ thống này đang cung
cấp. Sơ đồ khối của bộ thu tín hiện GPS mặt đất [2] có dạng chung nhƣ hình sau

Hình 1.5: Sơ đồ khối máy thu GNSS

Các tín hiệu cao tần của các vệ tinh GPS thu từ anten đƣợc chuyển tới bộ tiền
khuếch đại tạp âm thấp kết hợp, sau đó đƣợc trộn với tần số dao động nội VCO ở bộ
giảm tần (từ tần số băng L xuống còn khoảng 40Mhz). Sau đó tín hiệu đƣợc đƣa
qua bộ chuyển đổi tƣơng tự/số và đƣợc đƣa tới và tách ra ở bộ thu số N kênh. Mỗi
kênh số thu đƣợc từ mỗi vệ tinh đƣợc phân tách thành hai thành phần đồng pha và
thành phần lệch pha 900 sau đó đƣợc trộn với tín hiệu sóng mang và tín hiệu mã nội
của bộ thu và tín hiệu giả ngẫu nhiên nhất định. Cuối cùng các tín hiệu này đƣợc
tổng hợp và xử lý ở bộ xử lý tín hiệu băng gốc, kết quả đƣợc đƣa đến phần xử lý
định vị và hiển thị trên màn hình ngƣời sử dụng.

15


1.6 Khối dao động điều khiển bằng điện áp VCO
Nhƣ vậy để máy thu có thể hoạt động đƣợc chính xác ở dải tần nhất định, việc
tạo tần số dao động ở dải đó là rất quan trọng. Để thực hiện đƣợc điều này ngƣời ta
sử dụng bộ tạo dao động có tần số dao động thay đổi theo điện áp điều khiển gọi là
mạch dao động VCO (Voltage Control Oscillator). Từ đây về sau, trong quyển Luận
văn này, thuật ngữ VCO đƣợc sử dụng để chỉ mạch tạo dao động đƣợc điều khiển
bằng điện áp.

Sơ đồ khối của mạch đao động VCO đƣợc cho nhƣ hình sau:

Hình 1.6: Sơ đồ nguyên lý mạch dao động VCO

Hầu hết các mạch ứng dụng (gồm bộ thu GNSS) có yêu cầu bộ dao động có
thể điều chỉnh đƣợc tần số, trong đó tần số dao động của mạch là một hàm của tín
hiệu điều khiển đầu vào.
f (Vin)
Với sự phát triển của công nghệ bán dẫn, các mạch dao động điều khiển bằng
điện áp đƣợc chế tạo từ các linh kiện L,C, Transistor bằng vật liệu bán dẫn cho độ
ổn định cao, công suất tiêu thụ thấp, chiếm diện tích mạch rất nhỏ, dải điều chỉnh
tần số rộng. Bộ dao động điều khiển bằng điện áp có thể đƣợc sử dụng trong các
mạch trộn tăng hoặc giảm tần số của tín hiệu RF và tín hiệu băng gốc.
1.7 Kết luận Chƣơng 1
Nhƣ vậy Chƣơng một là phần giới thiệu sơ qua về hệ thống định vị vệ tinh
toàn cầu GNSS và các hệ thống định vị đƣợc phát triển bởi các quốc gia nhƣ Mỹ,
Nga, Ấn Độ và các nƣớc Châu Âu. Trong Chƣơng này cũng đề cập đến sơ đồ khối
máy thu tín hiệu định vị vệ tinh và phần tạo dao động trong máy thu đó sử dụng bộ
tạo dao động điều khiển bằng điện áp VCO, đây cũng là phần sẽ đƣợc đề cập đến
trong nội dung của Luận văn.

16


CHƢƠNG II – CÁC CHỈ TIÊU KỸ THUẬT VÀ THÔNG SỐ CHÍNH CỦA
KHỐI DAO ĐỘNG ĐIỀU KHIỂN BẰNG ĐIỆN ÁP VCO
2.1 Tìm hiểu lý thuyết về VCO
Một bộ dao động điện sẽ tạo ra tín hiệu biến đổi theo thời gian theo một chu
kỳ với nguồn cấp là dòng một chiều. Bộ dao động có thể đƣợc biểu diễn nhƣ một
mạng hai cực có hồi tiếp hoặc là hai mạng đơn cực nối với nhau, chúng ta cũng có

thể biểu diễn một bộ dao động bất kỳ nhƣ một mạng hồi tiếp tuyến tính đơn giản.
X(s)

+

Y(s)

Y1(s
)

H(s)

-

Hình 2.1: Sơ đồ mạng hồi tiếp tuyến tính đơn giản

Ta có hàm truyền đạt của bộ dao động nhƣ sau:
X(s) – Y(s) = Y1(s)
Y1(s).H(s) = Y(s)
Thay Y1(s) vào công thức dƣới ta có
[X(s) – Y(s)].H(s) = Y(s)  X(s).H(s) = Y(s).[1+ H(s)]. Vậy có
(2.1)
Trong đó X(s) là biểu diễn trong miền tần số của tín hiệu đầu vào x(t), Y(s) là
biểu diễn trong miền tần số của tín hiệu đầu ra y(t) và H(s) là biểu diễn trong miền
tần số của đáp ứng xung của hệ thống h(t).
Hệ thống sẽ dao động duy trì ở tần số f0 nào đó nếu H(s=j0) = -1, điều này
tƣơng đƣơng với:
|H(s=j0)| = +1 và
H(s=j0) = 1800


(2.2)

Vậy, để có dao động duy trì ổn định ở một tần số 0 nào đó, hai điều kiện sau
phải đƣợc thỏa mãn (còn gọi là điều kiện Barkhausen) [3].
a) Hệ số khuếch đại vòng, |H(j0)| phải bằng 1, và

17


b) Dịch pha tổng vòng khuếch đại phải bằng 0 hoặc dịch pha của riêng khối
truyền đạt H(s) là 1800, nghĩa là H(j0) = 1800
1800

+

Y(s)

X(s)
H(s)

-

00 hoặc
3600
Hình 2.2: Điều kiện pha của bộ dao động

Mạch dao động đặc biệt thƣờng có thêm một mạch lựa chọn tần số, thông
thƣờng là một khối LC, đặt ở vòng hồi tiếp để ổn định tần số dao động.

Hình 2.3: Sơ đồ mạch lựa chọn tần số


2.1.1 Tần số dao động
Mạch dao động đƣợc coi nhƣ gồm hai phần, mạch tích cực và bộ cộng hƣởng.
Bộ cộng hƣởng thông thƣờng là mạch LC mắc song song và đƣợc mô hình hóa
bằng mạch RPLC

R

L
C

Hình 2.4: Mạch dao động RLC

Trong đó RP là điện trở song song tƣơng đƣơng của khối LC (đƣợc cấu thành
từ trở kháng ký sinh của mạch LC). Mô hình này hình thành ý tƣởng rằng mạch tích
cực sẽ đóng góp một giá trị trở kháng bằng - RP để cân bằng với trở kháng song
song tƣơng đƣơng của bộ cộng hƣởng LC. Theo quan điểm năng lƣợng, mạch tích
cực sẽ bổ xung năng lƣợng tuần hoàn tiêu hao trên RP, tạo nên bộ dao động duy trì
18


Hình 2.5: Mô hình điện trở âm

Ở dao động, cảm kháng và dung kháng triệt tiêu lẫn nhau và mạch tƣơng
đƣơng chỉ còn R, tần số dao động của mạch đƣợc tính bằng công thức sau



(2.3)




2.1.2 Lý thuyết điện trở âm
Để hiểu rõ hơn về điện trở âm trong bộ dao động, ta xét mạch điện nhƣ sau
[3].
Từ mạch điện ta có
Vx + VGS = VC2

(2.4)

Trong đó VGS là điện áp giữa cực G và cực S của transistor M1 [3].

M1

C2

-Ix
C1
Hình 2.6: Mạch tính toán điện trở âm


(2.5)

VC2 là điện áp chạy qua tụ C2, cũng chính là điện áp giữa hai cực D và S của
transistor M1
(2.6)
IC2 = Ix – ID

(2.7)


ID là dòng chạy từ cực D xuống cực S của transistor M1 và đƣợc tính bằng


19

(2.8)


gm là hỗ dẫn của transistor M1, đƣợc tính bằng công thức
gm =

(2.9)

Thay (2.8) và (2.7) vào (2.6) ta đƣợc




(2.10)

Thay (2.10) và (2.5) vào (2.4) ta đƣợc











(2.11)

Công thức trên cho thấy Zin có thể đƣợc xem nhƣ gồm tụ C1 mắc nối tiếp với
tụ C2 và mắc nối tiếp với điện trở có giá trị -gm/C1.C2.2, nhƣ vậy ta có mạch sau:
𝑔𝑚
𝐶 𝐶

Zin
𝐶 𝐶
𝐶

𝐶

Hình 2.7: Mạch biểu diễn điện trở âm tương dương

Để mạch trên dao động đƣợc, ta phải mắc thêm một cuộn cảm và một điện trở
nối tiếp RS có giá trị bằng với giá trị điện trở âm ở mạch hình 7 trên. Ta có mạch
sau
𝑔𝑚
𝐶 𝐶

L
𝑔𝑚

𝐶 𝐶

𝐶 𝐶

𝐶


𝐶

Hình 2.8: Mạch dao động cân bằng điện trở

Nhƣ vậy mạch điện coi nhƣ chỉ còn L mắc nối tiếp với

, tần số dao động

của mạch đƣợc tính bằng





2.1.3 Điều kiện để mạch dao động
20

(2.12)


Nhƣ đã phân tích trong phần 1, các bộ dao động muốn có dao động duy trì đều
phải thỏa mãn điều kiện về biên độ và pha theo Barkhausen (công thức 2.2). Tuy
nhiên đó là điều kiện tổng quát ban đầu của bộ dao động, ngoài ra còn phải thỏa
mãn điều kiện khác. Ta xét mô hình dao động nhƣ Hình 8, tuy nhiên thay điện trở
nối tiếp bằng điện trở song song tƣơng đƣơng Rp với công thức quy đổi theo hệ số
phẩm chất Q [3]:




Thay Rs =



và tần số 
Rp.gm =



(2.13)

vào công thức (2.13) ta tính đƣợc:
=

(2.14)

Để bộ dao động có dao động duy trì thì transistor M1 phải có hệ số hỗ dẫn gm
đủ lớn và từ công thức (2.14) thì giá trị cho phép nhỏ nhất của gm có đƣợc khi
C1=C2 và:
gm.Rp ≥ 4

(2.15)

Công thức (2.15) đƣợc áp dụng cho mạch có sơ đồ nhƣ Hình 8 ở trên, tùy vào
từng mạch cụ thể mà ta có công thức tính hệ số gm khác nhau và công thức (2.15)
cũng đƣợc gọi là điều kiện khởi động của bộ dao động. Việc chọn giá trị gm phù hợp
cũng là một bƣớc quan trọng trong khâu thiết kế bộ dao động điều khiển bằng điện
áp.
2.1.4 Tạp âm pha
Với một bộ dao động lý tƣởng, tần số dao động luôn luôn không đổi và đƣợc

biểu diễn bằng công thức sau.
x(t) = Acos(t) với chu kỳ dao động là T=2π/.
Tuy nhiên, trong thực tế, do ảnh hƣởng của tạp âm mà tần số dao động biến
đổi lên xuống ngẫu nhiên, dẫn đến chu kỳ dao động cũng thay đổi theo, nghĩa là tín
hiệu không cắt trục t ở chính xác các điểm bằng số nguyên lần chu kỳ dao động. Để
mô hình hóa tạp âm pha, chúng ta viết lại công thức dao động nhƣ sau.

21


x(t) = Acos[t + φ(t)], trong đó φ(t) là đại lƣợng pha ngẫu nhiên và đƣợc gọi
là tạp âm pha. Hình sau minh họa tín hiệu có tạp âm pha so với tín hiệu lý tƣởng
trong miền thời gian.

Hình 2.9: Tín hiệu dao động có ảnh hưởng của tạp âm pha

Từ hình trên, chúng ta cũng có thể hiểu theo khía cạnh tần số, nghĩa là tần số
dao động thay đổi một cách ngẫu nhiên do ảnh hƣởng của tạp âm (T1 ≠ T2 ≠ Tm),
giá trị tần số sẽ thay đổi xung quanh tần số dao động lý tƣởng . Chúng ta tạm thời
bỏ qua sự ảnh hƣởng do tạp âm đến biên độ của bộ dao động thì tạp âm pha có thể
đƣợc biểu diễn bằng hình sau [3].

Hình 2.10: Biểu diễn tạp âm pha trong miền tần số

Với c là tần số của bộ dao động lý tƣởng đƣợc biểu diễn bằng một xung đơn,
với tần số hài ngẫu nhiên có giá trị chạy xung quanh tần số lý tƣởng đƣợc biểu diễn
bằng hình chuông nhƣ bên phải.
Để tính toán đƣợc tạp âm pha, đã có rất nhiều mô hình tính toán đƣợc đặt ra
trong đó có mô hình hay đƣợc sử dụng đó là mô hình của Lesson [5]
L() = 10log{


[1+(

22

](1+

(2.16)


Tuy nhiên công thức trên lại không đƣợc sử dụng để tính toán mà ta phải sử
dụng dạng rút gọn của công thức trên [tltk]
L() = 10log(

(2.17)

Với hệ số tạp âm F đƣợc tính nhƣ sau
F=1++

Rp

(2.18)

Với  = 2 ~ 3; gmbias là hỗ dẫn của nguồn dòng; Rp là điện trở song song tƣơng
đƣơng của khối LC. Nhƣ vậy có thể thấy rằng tạp âm pha phụ thuộc vào nguồn
dòng, tối ƣu đƣợc hỗ dẫn nguồn dòng, tối ƣu đƣợc tạp âm pha.
Tạp âm pha của bộ dao động VCO thƣờng gây bởi những yếu tố sau
a) Hệ số phẩm chất của mạch cộng hƣởng
b) Hệ số phẩm chất của Varactor
c) Tạp âm nhiệt gây bởi các thiết bị tích cực trong mạch dao động

d) Tạp âm nguồn cấp
e) Tạp âm từ nguồn điện áp cấp cho Varactor
Tạp âm từ các yếu tố d) và e) có thể đƣợc tối thiểu hóa bằng cách lựa chọn
nguồn cấp. Nhƣ vậy tạp âm pha của bộ dao động đƣợc xác định chủ yếu bởi hệ số
phẩm chất Q của bộ dao động và lựa chọn thiết bị tích cực. Để thiết kế mạch với hệ
số phẩm chất Q cao thì phải đánh đổi bằng dải tần số tinh chỉnh thấp, do vậy với
mạch có nhiễu pha thấp thƣờng có dải chỉnh tần nhỏ.
Các cách để hạn chế nhiễu pha đã đƣợc sử dụng nhƣ sau
a) Cực âm của nguồn cấp và nguồn điện áp tinh chỉnh phải đƣợc nối đến
điểm đất của bảng mạch in, cực đất của mạch VCO cũng phải đƣợc nối
đến cực đất của bảng mạch chính
b) Nguồn cấp với nhiễu thấp phải đƣợc sử dụng. Với nguồn ác quy một chiều
sử dụng cho cả Vcc và nguồn điện áp tinh chỉnh Vtune sẽ cho hiệu quả tốt
nhất
c) Đầu ra của bộ dao động phải đƣợc nối tốt với trở kháng tải, và nên dùng
bộ phối hợp trở kháng giữa VCO và tải bên ngoài

23


d) Phần nối đến đầu tinh chỉnh phải ngắn nhất có thể và đƣợc hàn tốt, có bảo
vệ để tránh cho VCO bị ảnh hƣởng bởi nguồn nhiễu ngoài.
2.1.5 Hệ số phẩm chất của cuộn cảm, tụ điện.
2.1.5.1 Hệ số phẩm chất của cuộn cảm
Với cuộn cảm lý tƣởng, sẽ không xảy ra sự mất mát năng lƣợng trong cuộn
cảm nghĩa là giá trị điện trở R bằng 0, tuy nhiên trong thực tế cuộn cảm luôn có giá
trị điện trở nhất định của kim loại làm nên nó, điện trở này đƣợc mô hình hóa nhƣ
một điện trở đấu nối tiếp với cuộn cảm. Điện trở này chuyển đổi năng lƣợng điện
thành nhiệt làm suy giảm tính chất của cuộn cảm. Để đánh giá chất lƣợng của cuộn
cảm, ta sử dụng hệ số phẩm chất Q, đƣợc tính bằng tỉ số giữa cảm kháng và giá trị

điện trở ở một tần số nhất định


(2.19)

Hệ số Q càng lớn, cuộn cảm càng tiến gần đến giá trị lý tƣởng, cuộn cảm với
hệ số phẩm chất lớn đƣợc sử dụng trong các mạch cộng hƣởng băng hẹp.
Từ công thức trên ta thấy Q tỉ lệ thuận với L, tần số  và tỉ lệ nghịch với giá trị R.
Để có Q cao ngƣời ta thƣờng tăng số vòng dây N và đƣờng kính d của cuộn cảm vì
L tỉ lệ thuận với N2 và d2 còn R chỉ tỉ lệ với N và d. Ngoài ra sử dụng lõi sắt từ cũng
làm tăng hệ số Q, với mạch có tần số cao lõi không khí thƣờng đƣợc sử dụng và cho
hệ số Q ở khoảng vài trăm.
Trong mạch có cuộn làm làm bằng chất bán dẫn, hệ số Q đƣợc tính bằng tỉ số
giữa mức năng lƣợng lƣu trữ chia cho mức năng lƣợng tiêu hao trong một chu kỳ
dao động, và giá trị Q phụ thuộc vào nhiều yếu tố nhƣ điện trở và điện dung ký sinh
của đế gây ra, hình dáng hình học của cuộn cảm, điện trở suất của đế và độ dẫn điện
của vòng kim loại. Ta có công thức tính tổng quát
(2.20)
Với cuộn cảm đƣợc chế tạo trên đế bán dẫn thƣờng có giá trị Q nhỏ (cỡ 2-5).
Các phƣơng pháp để nâng cao hệ số phẩm chất Q luôn luôn đƣợc cải tiến cho đến

24


ngày nay. Công thức tính hệ số phẩm chất Q của cuộn cảm bán dẫn đƣợc cho bởi
công thức: [5]
[

(


) ]

*

(

)(

)+

(2.21)

Trong đó Rp, Cp là điện trở và điện dung song song tƣơng đƣơng đƣợc chuyển
đổi từ mô hình nối tiếp của cuộn cảm bán dẫn. Rs, Cc là điện trở nối tiếp và điện
dung giữa cuộn cảm và phần đế của mô hình cuộn cảm bán dẫn. Công thức trên thể
hiện đƣợc các đặc tính cơ bản của cuộn cảm bán dẫn nhƣ mức dự trữ năng lƣợng,
mức tiêu hao và hệ số tự cộng hƣởng.
2.1.5.2 Hệ số phẩm chất của tụ điện
Tụ điện sử dụng trong mạch dao điều khiển bằng điện áp thƣờng là tụ điện có
điện dung biến thiên (Varactor) bằng vật liệu bán dẫn. Với một tụ điện lý tƣởng bất
kỳ, bao nhiêu điện tích nạp đƣợc ở bản cực bên này sẽ đƣợc phóng hoàn toàn sang
bản cực đối diện trong một đơn vị thời gian, nghĩa là không tiêu hao năng lƣợng.
Tuy nhiên trong thực tế luôn tồn tại phần tiêu hao đƣợc mô hình bằng điện trở nối
tiếp RS. Để đo mức độ lý tƣởng của tụ, ta đƣa ra hệ số phẩm chất của tụ nhƣ sau [3]:
Qc =

(2.22)

Thông thƣờng trong mạch điện tử, ta thƣờng hay mô hình hóa tính toán với
điện trở song song Rp, do đó ta có thể đổi từ điện trở nối tiếp sang thành điện trở

song song và lúc đó Qc sẽ đƣợc tính bằng công thức dƣới đây.
Qp =

(2.23)

2.1.6 Tần số trung tâm
Tần số trung tâm là tần số chính giữa của bộ dao động, nó đƣợc xác định bởi
môi trƣờng mà bộ dao động đƣợc sử dụng, ta có
(2.24)
2.1.7 Hệ số chất lƣợng của mạch dao động (FOM: Fingure of Merit)
Có rất nhiều tham số ảnh hƣởng đến mạch dao động (ví dụ mạch VCO) nhƣ
công suất tiêu thụ, tạp âm pha, dải điều chỉnh tần số của VCO, hệ số phẩm chất của
25


×