Tải bản đầy đủ (.pdf) (112 trang)

Thiết kế IC nguồn dựa trên công nghệ CMOS

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (2.21 MB, 112 trang )

bé gi¸o dôc vµ ®µo t¹o
tr−êng ®¹i häc b¸ch khoa hµ néi
-----------X—W-----------

NGUYỄN HOÀNG LONG

THIẾT KẾ IC NGUỒN
DỰA TRÊN CÔNG NGHỆ CMOS

LUẬN VĂN THẠC SĨ KỸ THUẬT ĐIỆN TỬ

ng−êi h−íng dÉn KHOA HỌC: TS NGUYỄN VŨ THẮNG

Hµ Néi - 2009


MỤC LỤC
MỤC LỤC................................................................................................................... 1
DANH MỤC HÌNH VẼ.............................................................................................. 4
MỞ ĐẦU..................................................................................................................... 7
CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN
CƠ BẢN DÙNG TRONG THIẾT KẾ .......................................................................9
1.1. Tổng quan về công nghệ CMOS ..................................................................9
1.2. Các mạch điện cơ bản dùng trong thiết kế .................................................11
1.2.1. Mô hình cơ bản linh kiện tích cực trong mạch tích hợp ......................11
1.2.1.1. Đặc tính truyền đại của transistor MOS ........................................11
1.2.1.2. Mô hình tín hiệu nhỏ Transitor .....................................................18
1.2.2. Một số mạch cơ bản trong mạch tích hợp............................................21
1.2.2.1. Ba tầng khuyếch đại đơn ...............................................................21
1.2.2.2. Mạch Cascode ...............................................................................22
1.2.2.3. Mạch khuyếch đại thuật toán ........................................................23


1.2.2.4. Mạch gương dòng..........................................................................24
1.2.2.5. Mạch tạo điện áp chuẩn.................................................................28
1.2.2.6. Mạch tự phân cực ..........................................................................34
CHƯƠNG 2: CÁC VẤN ĐỀ VỀ ỔN ĐỊNH............................................................37
2.1. Các vấn đề về ổn định.................................................................................37
2.1.1. Hồi tiếp cân bằng .................................................................................37
2.1.2. Độ nhạy của hệ số khuyếch đại...........................................................38
2.1.3. Ảnh hưởng của hồi tiếp âm..................................................................39
2.2. Đáp ứng tần số và sự ổn định .....................................................................40
2.2.1. Quan hệ giữa hệ số khuyếch đại-băng thông trong mạch có hồi tiếp..41
2.2.2. Tính không ổn định và tiêu chuẩn Nyquist..........................................42
2.2.3. Các phương pháp bù ............................................................................45
CHƯƠNG 3: THIẾT KẾ IC NGUỒN KIỂU DC-DC..............................................48
3.1. Giới thiệu ....................................................................................................48

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long

1


3.2. Phân loại các mô hình chuyển đổi DC-DC.................................................48
3.3. Mô hình không cách ly BUCK ...................................................................49
3.3.1. Voltage mode .......................................................................................50
3.3.2. Current mode........................................................................................52
3.4. Dạng sóng dòng điện trong BUCK.............................................................55
3.5. Hiệu suất trong Buck ..................................................................................58
3.5.1. Tổn hao một chiều................................................................................58
3.5.2. Tổn hao xoay chiều ..............................................................................58

3.5.2.1. Xét trường hợp tổn hao ít nhất ......................................................59
3.5.2.2. Trường hợp xấu nhất ứng với tổn hao xoay chiều lớn nhất. .........60
3.6. Bù slope ......................................................................................................61
3.7. Chế độ hoạt động của BUCK .....................................................................63
3.8. Kỹ thuật PWM và PFM ..............................................................................64
3.8.1. Kỹ thuật PWM .....................................................................................64
3.8.2 Kỹ thuật PFM........................................................................................65
3.9. Thiết kế IC DC - DC theo cấu hình Buck...................................................67
3.9.1 Yêu cầu của thiết kế ..............................................................................68
3.9.2 Sơ đồ khối và chức năng các khối ........................................................69
3.9.3. Thiết kế và tính toán Buck ...................................................................71
3.9.3.1. Khối tạo dao động .........................................................................71
3.9.3.2 Khối tạo áp và dòng tham chiếu cho cả IC ....................................74
3.9.3.3 Khối hồi tiếp...................................................................................77
3.9.3.4 Khối khuyếch đại sai lỗi.................................................................77
3.9.3.5 Khối cảm nhận dòng ......................................................................80
3.9.3.6. Khối hạn trên .................................................................................83
3.9.3.7. Khối điều chế độ rộng xung ..........................................................84
3.9.3.8 Khối nhận biết dòng đỉnh ...............................................................87
3.9.3.9 Khối lựa chọn tín hiệu điều khiển ..................................................90
3.9.3.10. Khối điều khiển logic ..................................................................92
3.9.4. Kết quả mô phỏng và đặc tính của BUCK trong IC ACT5870 .........94

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long

2



KẾT LUẬN ............................................................................................................. 102
PHỤ LỤC................................................................................................................ 103
2.1 Thông số điện 3.3V N/P MOS ..............................................................105
2.1.1. Thông số điện NMOS ....................................................................105
2.1.2. Thông số điện PMOS .....................................................................105
2.1.3. Tụ cực Gate ....................................................................................106
2.1.4. Dòng rò MOSFET ..........................................................................106
2.1.5. Junction Leakage............................................................................106
2.2. Thông số điện 5.0V N/P MOS ..............................................................106
2.2.1. Thông số điện NMOS ....................................................................106
2.2.2. Thông số điện PMOS .....................................................................107
2.2.3. Tụ cực Gate ....................................................................................107
2.2.4. Dòng rò MOSFET ..........................................................................107
2.2.5. Dòng rò chyển tiếp .........................................................................107
2.3. Thông số điện BJT ...............................................................................107
2.4. Điện trở .................................................................................................108
2.4.1 Sheets Resistance of resistors (Non-salicide) .................................108
2.4.2. HR POLY0 Resistor (non-salicide)...............................................109
2.5. Double Poly Capacitor ..........................................................................110
2.5.1 Inter poly Dielectric ........................................................................110
2.5.2. Inter poly capacitance temparature and voltage coeficient ...........110
TÀI LIỆU THAM KHẢO....................................................................................... 111

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long

3



DANH MỤC HÌNH VẼ
Hình 1.1 Biểu đồ mô tả quan hệ giữa năng lượng cung cấp và khả năng tích hợp ...............9
Hình 1.2 Cấu trúc vật lý Transistor kênh n..........................................................................12
Hình1.3 Ký hiệu transistor MOS........................................................................................13
Hình 1.4 Phân cực cho transistor MOS khi VGS = 0............................................................13
Hình 1.5 Phân cực cho NMOS với VDS nhỏ và VGS >Vt.....................................................14
Hình 1.6 Cấu trúc kênh ở trạng thái pinch-off.....................................................................16
Hình 1.7 Đặc tuyến ra của Transistor MOS.........................................................................18
Hình 1.8 Sơ đồ phân cực cho transistor MOS .....................................................................19
Hình1.9 Mô hình tương đương tín hiệu nhỏ của MOS........................................................20
Hình 1.10 Ba tầng khuyếch đại cơ bản ................................................................................21
Hình 1.11 Mạch cascode......................................................................................................22
Hình 1.12 Sơ đồ OPAM 2 tầng............................................................................................23
Hình 1.13 Sơ đồ khối cấu trúc mạch gương dòng ...............................................................24
Hình 1.14 Cấu trúc gương dòng đơn giản ...........................................................................26
Hình 1.15 Gương dòng cascode...........................................................................................27
Hình 1.16 Nguyên lí tạo bandgap ........................................................................................29
Hình 1.17 Sự phụ thuộc Vout vào nhiệt độ .........................................................................31
Hình 1.18 Mạch band-gap cơ bản........................................................................................32
Hình 1.19 Mạch emitter chung để tăng



EB

.......................................................................33

Hình 1.20 Các cấu hình band-gap khác ...............................................................................34
Hình 1.21 Nguyên lí mạch tự phân cực ...............................................................................35
Hình 2.1 Cấu hình mạch hồi tiếp .........................................................................................37

Hình 2.2 Đặc tuyến truyền đạt của bộ khuyếch đại cơ bản .................................................39
Hình 2.3 Đặc tuyến truyền đạt của bộ khuyếch đại có hồi tiếp. ..........................................40
Hình 2.4 Mô hình mạch khuyếch đại có hồi tiếp.................................................................40
Hình 2.5 Mối quan hệ giữa độ lớn hệ số khuyếch đại và tần số của bộ khuyếch đại cơ bản
có hồi tiếp.............................................................................................................................42
Hình 2.6 Biểu diễn điểm cực trên hệ trục toạ độ phức .......................................................42
Hình 2.7 Biểu diễn các điểm cực trên hệ toạ độ phức .........................................................43
Hình 2.8 Độ lớn của biên độ và phase của bộ khuyếch đại có ba điểm cực........................43

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long

4


Hình 2.9 Đồ hình nyquist.....................................................................................................44
Hinh 2.10 Bù miler cho OPAM 2 tầng ................................................................................46
Hình 2.11 Phân bố điểm cực, điểm không trước và sau khi bù ...........................................47
Hình 3.1 Mô hình buck Voltage mode ................................................................................51
Hình 3.2 Dạng sóng trong Buck ..........................................................................................52
Hình 3.3 Sơ đồ mạch Current mode ....................................................................................53
Hình 3.4 Sơ đồ khối của Current mode ...............................................................................54
Hình 3.5 Sơ đồ nguyên lý của mạch Buck...........................................................................55
Hình 3.6 Mạch Buck trong trường hợp Switch đóng ..........................................................55
Hình 3.7 Mạch Buck trong trường hợp Switch mở .............................................................56
Hình 3.8 Điện áp và dòng điện trong cuộn cảm khi chuyển mạch đóng và mở. .................57
Hình 3.9 Thời gian switching power mos tốt nhất...............................................................59
Hình 3.10 Thời gian switching power mos xấu nhấ ............................................................61
Hình 3.11 Sự dao động của dòng điện khi duty nhỏ hơn 50% ............................................62

Hình 3.12 Hoạt động của mạch khi duty lớn hơn 50%........................................................62
Hình 3.13 Hoạt động của mạch điện khi có bù Slope..........................................................63
Hình 3.14 Buck hoạt động trong CCM, không có DCM.....................................................64
Hình 3.15 Buck hoạt động trong DCM, CCM.....................................................................64
Hình 3.16 Hiệu suất của mạch theo Iout ở PFM/PWM.......................................................65
Hình 3.17 Dòng điện trong chế độ PFM..............................................................................66
Hình 3.18 Sơ đồ ứng dụng của BUCK ................................................................................69
Hình 3.19 Sơ đồ khối BUCK...............................................................................................70
Hình 3.20 Mạch nguyên lý tạo dao dộng sử dụng mạch so sánh và hai tụ..........................72
Hình 3.21 Sơ đồ chi tiết bộ tạo dao động sử dụng hai tụ.....................................................73
Hình 3.22 Kết quả mô phỏng mạch tạo dao động ...............................................................74
Hình 3.23 Mạch bandgap tạo ref chuẩn ...............................................................................74
Hình 3.24 Điện áp tham chiếu biến thiên theo nhiệt độ ......................................................76
Hình 3.25 Hệ số khuyếch đại và Pha vòng của mạch BanGap............................................76
Hình 3.26 Sơ đồ khối hôit tiếp............................................................................................77
Hình 3.27 Đồ thị Gain của khối khuyếch đại sai lỗi............................................................78
Hình 3.28 Mạch khuếch đại sai lỗi ......................................................................................79
Hình 3.29 Đồ thị Gain và Phase mạch khuyếch đại sai lỗi..................................................80

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long

5


Hình 3.30 Sơ đồ mạch Buck_CSAM...................................................................................81
Hình 3.31 Sơ đồ tổng quát mạch Buck_CSAM...................................................................82
Hình 3.32 Mạch khối Buck_CLAMP ..................................................................................84
Hình 3.33 Mạch Buck_COM...............................................................................................85

Hình 3.34 Sơ đồ khối mạch Buck_LIMIT...........................................................................88
Hình 3.35 Khối Buck_SELECH ..........................................................................................90
Hình 3.36 Tính hiệu Buck_SELECK PWM........................................................................91
Hình 3.37 Tính hiệu Buck_SELECK PFM .........................................................................92
Hình 3.38 Sơ đồ khối Buck_LOGIC ...................................................................................92
Hình 3.39 Khối Buck Logic ở PWM ...................................................................................93
Hình 3.40 Tín hiệu khối Buck logic ở PFM ........................................................................94
Hình 3.44 Hiệu suất buck trong ACT5870, Vout=1.8V......................................................98
Hình 3.45 Hiệu suất buck trong LCT3417A, Vout=2.5V ...................................................98
Hình 3.46 Load step tại Vin=3.6, Iload 500mA, ACT5870 ................................................99
Hình 3.47 Load step tại Vin=3.6, Iload 500mA, LCT3417A..............................................99
Hình 3.48 Sự thay đổi của Vout theo nhiệt độ...................................................................100
Hinh 3.49 Dòng tiêu thụ BUCK theo Vin .........................................................................100

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long

6


MỞ ĐẦU
Hiện nay, thế giới đang trong giai đoạn bùng nổ của khoa học và công nghệ.
Cũng như các ngành khoa học khác, kỹ thuật điện tử đã có những bước phát triển
vượt bậc và đã trở thành một ngành công nghiệp đem lại giá trị rất lớn. Kỹ thuật
điện tử đã ra đời và phát triển từ rất sớm nhưng sự phát triển của nó chỉ thực sự
vượt trội kể từ sau khi phát minh ra transitor vào năm 1947 tại phòng thí nghiệm
Bell. Với việc phát minh ra transitor, kỹ thuật điện tử chuyển sang một kỷ nguyên
mới, đó là kỷ nguyên của các mạch tích hợp (IC-Intergrated Circuit).
Trong những năm gần đây, kỹ thuật số đã phát triển với tốc độ chóng mặt và

có phần nào lấn át so với kỹ thuật tương tự. Kỹ thuật số được ứng dụng trong mọi
lĩnh vực của đời sống, kinh tế và xã hội. Tuy nhiên không vì thế mà chúng ta có
thể xem nhẹ kỹ thuật tương tự. Bởi vì những lý do chính sau đây:
Thứ nhất, con người chỉ cảm nhận được tín hiệu tương tự chứ không phải tín
hiệu số. Do đó kỹ thuật số chỉ đóng vai trò là các khâu trung gian như xử lý và lưu
trữ. Quá trình chuyển đổi tín hiệu tương tự sang tín hiệu số được gọi là quá trình
A/D (Analog to Digital). Và quá trình ngược lại gọi là D/A (Digital to Analog).
Thứ hai, tuy dải giá trị làm việc hay dải giá trị trong khả năng tính toán với
thiết kế IC số là không có giới hạn, còn trong thiết kế IC tương tự thì có giới hạn.
Tuy nhiên lượng giá trị trong một dải giá trị trong IC tương tự là vô hạn còn đối
với IC số cần phải chia và đưa dải giá trị về một số mức hữu hạn để tính toán do
đó sẽ phải bắt gặp sai số khi lượng tử.
Thứ ba, Có nhiều vấn đề, nếu giải quyết bằng kỹ thuật số thì sẽ rất khó khăn,
nếu giải quyết bằng kỹ thuật tương tự sẽ tối ưu hơn về mặt kinh tế.
Với mong muốn tìm tòi và học hỏi về kỹ thuật điện tử tương tự và thiết kế
IC tương tự nên em đã chọn đề tài luận văn tốt nghiệp của mình là: "Thiết kế IC
nguồn dựa trên công nghệ CMOS".
Đồ án tốt nghiệp gồm ba chương: Chương một là tổng quan về công nghệ
CMOS và các mạch điện cơ bản dùng trong thiết kế. Chương hai là các vấn đề
Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long

7


ổn định. Trên cơ sở những kiến thức của chương một và chương hai, chương ba
em xin trình bày nguyên lí hoạt động, tính toán thiết kế một khối ổn áp DC-DC
(BUCK) được sử dụng trong IC nguồn trong thực tế và một số kết quả mô
phỏng.

Do thời gian và kiến thức còn hạn chế nên trong phần trình bày sẽ không
tránh khỏi sai sót. Em rất mong sự đóng góp ý kiến của thầy cô và bạn bè để bài
luận văn của em ngày càng hoàn chỉnh hơn.
Nhân đây, em xin bày tỏ lòng biết ơn chân thành và sâu sắc tới Tiến sĩ
Nguyễn Vũ Thắng - Người đã tận tình hướng dẫn và giúp đỡ em rất nhiều trong
suốt thời gian qua.

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long

8


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ
CÁC MẠCH ĐIỆN CƠ BẢN DÙNG TRONG THIẾT KẾ
1.1. Tổng quan về công nghệ CMOS
Trong gần 20 năm gần đây mạch tương tự tích hợp (Analog intergrated
circuit) đã phát triển một cách nhanh chóng. Ban đầu, cơ sở để thiết kế mạch tương
tự dựa trên công nghệ Bipolar. Tiếp theo nó nhanh chóng phát triển dựa trên công
nghệ của CMOS. Hơn thế nữa, công nghệ BiCMOS (combination both Bipolar and
CMOS), kết hợp hai công nghệ Bipolar và công nghệ CMOS trên cùng một ‘chip’
đã nổi lên như là một công nghệ quan trọng nhất.
Điểm đáng chú ý ở đây là các mạch tích hợp sử dụng công nghệ CMOS đã
trở nên lấn át bởi các mạch điện này tiêu tốn năng lượng ít hơn và năng lượng phân
tán cũng ít hơn so với công nghệ Bipolar. Công nghệ CMOS tạo nên khả năng tích
hợp các phần tử cao hơn so với Bipolar.

Trong nhiều ứng dụng, sự kết hợp sử dụng cả hai mạch số và tương tự trong
cùng một chip đã làm giảm chi phí và năng lượng tiêu tốn cũng ít hơn.
Cách đây hai mươi năm, công nghệ CMOS mới chỉ được phát triển để hỗ
trợ các ứng dụng có yêu cầu cao về tần số. Cho đến nay, công nghệ CMOS phát
triển nhanh chóng tạo nên các vi mạch với độ tích hợp cao, làm giảm kích thước,
giá thành, tích hợp nhiều tính năng ưu việt.

Hình 1.1 Biểu đồ mô tả quan hệ giữa năng lượng cung cấp và khả năng tích hợp

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long

9


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

Biểu đồ trên thể hiện sự phát triển khả năng tích hợp và năng lượng cung
cấp cũng ngày càng được giảm nhỏ đi.
Công nghệ VLSI có thể chia làm hai công nghệ là CMOS và BIPOLAR.
- BIPOLAR: Chủ yếu là các mạch điện lưỡng cực, có trở kháng ra thấp,
điều khiển bằng dòng điện, độ hỗ dẫn lớn, năng lực điều khiển tốt, tín hiệu ra lớn và
tuyến tính.
- CMOS (Complementary Metal Oxide Simiconductor): Mạch điện CMOS
điều khiển bằng điện áp, trở kháng vào rất lớn, khả năng chịu nguồn nuôi thay đổi
cao, tiêu thụ công suất nhỏ ở chế độ tĩnh, khả năng chống nhiễu tốt.
Bảng1.1 So sánh hai công nghệ BIPOLAR và CMOS
Tính chất


NPN

CMOS

Chung
Điện áp nguồn

-

++

++

-

-

+

++

+

Tốc độ điều khiển

++

+


Công suất tiêu thụ

-

++

Công suất cung cấp

++

-

Khả năng chống nhiễu

-

++

Độ dốc Logic

-

++

Khuyếch đại

++

-


Độ rộng dải

++

-

Trở kháng vào

-

++

Công suất tiêu thụ

-

++

Độ hỗ dẫn
Mật độ tổ hợp
Khả năng điều khiển
Mạch Digital

Mạch Analog

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 10



CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

Theo bảng trên ta đưa ra kết luận: Với các mạch điện dùng cung cấp nguồn
chúng ta có thể sử dụng BIPOLAR, với các mạch cần chính xác chúng ta sử dụng
MOSFET.
Tuy nhiên công nghệ CMOS có ưu điểm nổi bật là mật độ tổ hợp cao và
cũng có thể chế tạo được ra các linh kiện lưỡng cực, nên nó có tầm quan trọng ngày
càng cao trong ngành công nghiệp IC.

1.2. Các mạch điện cơ bản dùng trong thiết kế
Thiết kế IC tương tự không những đòi hỏi người kĩ sư phải nắm bắt được
nhiều mạch điện nhỏ với các ưu nhược điểm cụ thể của chúng để áp dụng kết hợp
chúng với nhau nhằm thực hiện được một chức năng nào đó. Thiết kế thành công
được một mạch điện tương tự với độ phức tạp vừa phải cũng đã đòi hỏi phải đầu tư
nhiều công sức cũng như độ tỉ mỉ trong từng cụm mạch nhỏ đồng thời cũng như khi
xét tới sự tương tác của chúng với nhau. Nếu như kiến thức về lí thuyết mạch làm
nền tảng cho việc thực hiện thiết kế mạch điện ở mức hệ thống để có thể dự trù
trước các công việc và khó khăn cơ bản sẽ gặp phải khi thực hiện thiết kế thì kiến
thức về các mạch điện cơ bản, các kĩ thuật xử lí sẽ là điểm tựa để đưa ý tưởng thành
hiện thực. Việc tiếp thu các mạch điện này là một quá trình học tập và kinh nghiệm
cả trên ghế nhà trường cũng như khi đã đi làm thực tế. Dưới đây em xin trình bày
đặc tính của MOS cơ bản và một số mạch điện cơ bản thường dùng trong thiết kế IC
tương tự. Việc nắm rõ nguyên tắc hoạt động của chúng rất quan trọng trong quá
trình như phân tích mạch, cải tiến, thiết kế mới, gỡ lỗi IC…
1.2.1. Mô hình cơ bản linh kiện tích cực trong mạch tích hợp
1.2.1.1. Đặc tính truyền đại của transistor MOS
Metal-oxit-semiconductor field-effect transistors (MOSFETs) trở nên quan
trọng trong lĩnh vực mạch số tích hợp bởi vì mật độ tích hợp cao và công suất tiêu
tán thấp. Ngược lại, bibolar transistors có nhiều ưu điểm trong mạch tích hợp tương

tự độc lập như là hỗ dẫn trên cùng một dòng phân cực lớn hơn nhiều so với MOS
Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 11


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

transistors. Vì vậy khi thiết kế mạch đôi khi phải kết hợp cả công nghệ Bibolar và
CMOS. Xét về khía cạnh kinh tế thì công nghệ CMOS rẻ hơn Bibolar, vì vậy trong
chế tạo mạch tích hợp hầu hết sử dụng MOS. Kết quả là, nghiên cứu đặc tuyến
MOS transistors, cái mà ảnh hưởng đến thiết kế mạch tương tự tích hợp rất quan
trọng.
Cấu trúc của NMOS transistor được minh hoạ như sau:

Hình 1.2 Cấu trúc vật lý Transistor kênh n
Cực nguồn (S) và máng (D) là vùng giàu tạp chất n+ được chế tạo trên đế
loại P. Cực nguồn và máng cách nhau một khoảng L (gọi là chiều dài kênh). Trên
bề mặt đế P, giữa nguồn và máng có một lớp oxit cách điện SiO2 (bề dày thông
thường khoảng 200Å) và được phủ một lớp Poly ở phía trên tạo cực cổng (gate).
Khi VGS = 0, cực nguồn (S) và cực máng (D) được ngăn cách bởi các chuyển
tiếp PN. Các chuyển tiếp này được hình thành giữa cực máng và nguồn loại n với đế
loại p. Kết quả có trở kháng cực cao (khoảng 1012 Ω) giữa nguồn và máng, NMOS
tắt.

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 12



CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

Hình1.3 Ký hiệu transistor MOS

Hình 1.4 Phân cực cho transistor MOS khi VGS = 0
Khi đế (substrate), cực nguồn (S), cực máng (D) nối đất và đặt một điện áp
dương vào cực cửa (gate). Cực cửa và đế sẽ hình thành một tụ điện với SiO2 là lớp
điện môi. Điện tích dương được tích trên cực cửa và điện tích âm tích dưới phiến
đế, điện tích âm trong đế được biểu thị bởi sự hình thành vùng nghèo và ngăn cản lỗ
trống dưới cực Gate của tiếp giáp p-n. Bề rộng lớp nghèo dưới oxit là:
X=(

2∈Φ 1 2
)
qNA

Điện tích trên một đơn vị diện tích lớp nghèo là:
Q = qNAX =

2q N A ∈ Φ

Trong đó:
Φ là thế lớp nghèo tại bề mặt oxide-silicon
NA là nồng độ tạp của đế loại p

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 13



CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

Є là hằng số điện môi của silicon
X là bề rộng lớp nghèo dưới lớp oxide.
Khi điện thế bề mặt trong silicon đạt tới giá trị giới hạn bằng 2 lần thế fermi
Φf sẽ xuất hiện lớp đảo tại bên dưới lớp oxide cách điện.
Φf =

kT
ln[ N A ]
q
ni

Trong đó: k là hằng số Bolzman
ni nồng độ hạt dẫn tinh khiết ni =

N N
c

v

exp( −

E

g


2kT

)

Φf khoảng 0.3V. Khi tăng điện áp đặt vào cực cửa sẽ không làm thay đổi
chiều rộng lớp nghèo, nhưng thay vì làm giảm lớp electrons tại bề mặt của silicon
ngay dưới lớp oxide, sự đảo tạo ra khu vực loại n liên tục giữa nguồn với máng và
hình thành kênh dẫn giữa nguồn với máng. Độ dẫn của kênh có thể được thay đổi
bằng cách thay đổi điện áp VGS, đây chính là đặc tính áp điều khiển dòng trong các
transistor MOS.
Qb0 = 2q N A ∈ 2 Φ f
Khi VBS=0, vùng nghèo chứa mật độ điện tích không đổi.

Hình 1.5 Phân cực cho NMOS với VDS nhỏ và VGS >Vt
Khi tính đến sự chênh điện áp giữa nguồn và đế VSB, điện áp cần để hình
thành lớp đảo là (2 Φ f + VSB) và mật độ điện tích trong vùng nghèo được tạo ra là:
Qb =

2q N A ∈ (2 Φ f + V SB )

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 14


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

Giá trị giới hạn của điện áp đặt vào cực cửa so với nguồn VGS để thoả mãn
điều kiện tạo ra lớp đảo gọi là điện áp ngưỡng Vt. Vt bao gồm các thành phần sau:

- Điện áp 2Φf + (Qb/Cox) cần thiết để có lớp đảo
- Mức chênh thế Φms giữa kim loại và silicon
- Qss là mật độ điện tích dương luôn tồn tại trong lớp oxide trên bề mặt
silicon, điện tích này phải được bù bởi điện áp VGS do vậy sẽ mang dấu âm:
Vt = Φ ms + 2 Φ f +

Q
C

b

-

ox

Q
C

= Vt0 + γ ( 2 Φ f + V SB -

ss

2Φ f )

ox

VT0 điện áp ngưỡng tại Vbs=0.
Thông số γ đươc xác định:
γ =


1

C

2q ∈ N A

Với

C

ox

ox

= ε ox

t

ox

Trong đó: εox và tox là hằng số điện môi và bề dày lớp oxide. Bình thường
γ = 0.5V1/2, Cox = 3.45 fF/ µ m2 đối với tox = 100A0.
Trong thực tế, giá trị của Vt0 được điều chỉnh bằng cách cấy lớp tạp chất
trong khu vực kênh.
Khi cực nguồn được nối đất, điện áp Vgs, Vds, Vsb như hình vẽ. Khi VGS >
Vt kênh được hình thành. Nếu VDS = 0 dòng điện (ID) giữa nguồn và máng bằng 0.
Nếu Vds khác không sẽ xuất hiện dòng điện (ID). Điện áp dương Vds làm
cho phân cực ngược từ máng tới đế lớn hơn so với từ nguồn tới đế. Vì vậy vùng
nghèo rộng nhất là ở cực máng. Giả thiết điện áp rơi dọc kênh là nhỏ để bề rộng lớp
nghèo không đổi dọc theo kênh.

Dòng máng Id:
ID =

dQ
dt

Gọi chiều rộng của kênh là W, VDS nhỏ, điện tích trên một đơn vị diện tích
trên kênh là:
QI(y) = Cox[vGS – v(y) – VT)
Điện trở kênh trên một đơn vị chiều dài dy là:
Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 15


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

dR =

dy

µ Q ( y)W
n

I

Trong đó µn là độ linh động của điện tử trong kênh. Điện áp rơi trên một đơn
vị theo chiều dài kênh có thể tính là:
dv(y) = iDdR =


i dy
µ Q ( y)W
D

n

I

Hay
iDdy = W µ n QI(y)dv(y)
Tích phân dọc theo chiều dài kênh ta có:
L

∫ i Ddy =
0

v DS
∫W

v DS

µ Q ( y)dv( y) = ∫ W µ C [V
n

0

I

Giải phương trình ta có: iD =


n

0

W

µC
n

L

0x

0x

GS

− v( y ) − V T ]dv( y )

[(vGS − V T ) v DS

−v

2
DS

2

]


Hệ số µnCox thường được định nghĩa là hệ số hỗ dẫn linh kiện:
K’ =

µC
n

0x

=

µε
n

t

0x

ox

Khi VDS « 2(VGS -Vt) công thức trên cho thấy iD xấp xỉ tỉ lệ với VDS.

Hình 1.6 Cấu trúc kênh ở trạng thái pinch-off
Khi giá trị của VDS tăng, kênh dẫn sẽ bị thu hẹp ở phía nguồn (hình 1.6)

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 16



CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

Theo công thức trên ta thấy khi VDS = VDG + VGS thì QI(y) = 0 do vậy khi
VDS > VDG + VGS MOS vào trạng thái pinch-off và có thể áp dụng phương trình
KVL: VDS = VDG + VGS
Do đó khi VDS > VGS – Vt
Ta có: VDG < Vt
Công thức trên cho thấy khi điện áp VDS lớn hơn (VGS – Vt), hay điện áp
gate-drain nhỏ hơn điện áp ngưỡng, chiều dài kênh không vươn tới cực máng. Kết
quả này là hợp lý vì chúng ta biết rằng điện áp từ cửa tới kênh tại điểm kênh biến
mất cân bằng với Vt, do đó tại điểm kênh pinch-off, điện áp kênh là (VGS – Vt).
Điện trường ngang dọc kênh trong trạng thái pinch-off không phụ thuộc vào điện áp
source-drain nhưng phụ thuộc vào điện áp kênh (VGS – Vt). Giá trị dòng điện được
tính như sau:
ID =

Wk

'

(vGS − V T ) 2

2L

Công thức trên cho thấy dòng ID không phụ thuộc vào VDS nhưng trong thực
tế ID thay đổi nhỏ khi VDS thay đổi. L trong thực tế phải tính là chiều dài hiệu dụng
của kênh:
Leff = L - Xd
Trong đó Xd là chiều rộng vùng nghèo, khi đó:

ID =

Wk

'

2 Leff

(vGS − V T ) 2

Vì XD là hàm của VDS hay Leff phụ thuộc vào VDS nên ID vẫn phụ thuộc vào
VDS. Sự phụ thuộc này gọi là ảnh hưởng do thay đổi chiều dài kênh. Lúc này đặc
tính I-V là:
ID =
Trong đó:

Wk
2L

'

[(vGS − V T ) 2(1 + λ V DS )

λ= 1

V

A

Thiết kế IC nguồn dựa trên công nghệ CMOS


SVTH: Nguyễn Hoàng Long 17


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

VA: thế early
VA = Leff(

d

X

d

d V DS

)-1

Ta có đường đặc tuyến I-V của MOS như sau (hình 1.7):

Hình 1.7 Đặc tuyến ra của Transistor MOS
Transistor hoạt động ở miền pinch-off khi VDS > (VGS - Vt), thường được gọi
là miền bão hoà (saturation), ở miền này dòng điện chỉ phụ thuộc vào VGS và phụ
thuộc rất ít vào VDS, trong khi đó ở miền triode dòng điện được điều khiển như qua
một điện trở không tuyến tính mắc giữa cực nguồn và máng, trở kháng của điện trở
này không tuyến tính là do hệ số phụ thuộc

V


2
DS

. Điều kiện chuyển từ miền triode

sang miền pinch-off là VDS = (VGS - Vt). Khi VGS rất nhỏ (VGS ≤ Vt) thì transistor ở
trạng thái cut-off.
1.2.1.2. Mô hình tín hiệu nhỏ Transitor
Vì Transistor thường được sử dụng trong các mạch analog, nên để đơn giản
trong việc tính toán hệ số khuyếch đại và trở kháng của các thành phần mạch, các
mô hình tín hiệu nhỏ được sử dụng.
Giả sử Transistor được mắc theo hình vẽ sau:

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 18


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

Hình 1.8 Sơ đồ phân cực cho transistor MOS
Một tín hiệu nhỏ Vi được mắc nối tiếp với VGS sẽ sinh ra một biến đổi nhỏ
với dòng ID là id tổng dòng bây giờ là: Id = ID + id.
Hệ số hỗ dẫn được định nghĩa là:
gm =

∂ID


∂V GS

Thay công thức tổng quát tính ID ta có:

W
'W
(V GS − V t ) = 2 k
gm = k L
L


I

D

Mặt khác ta có:
'

Id =

k W (V
2 L

'

W
+ vi − V t ) 2 = k
[ (V GS − V t ) 2 + 2 (V GS − V t ) vi +
GS
2 L


v

2

i

]

Thay vào công thức tổng quát ID ta có:
'

Id = ID +

k W [2 (V
2 L

GS

− V t ) vi +

v

2

i

]

Suy ra:

W
vi
id = Id – ID = k L (V GS − V t ) vi [1 +
]
2(V GS − V t )


Từ công thức trên, nếu Vi nhỏ hơn nhiều so với 2(VGS-Vt) ta có:
id ≈ gmvi
Thực tế khi |vi| nhỏ hơn 20% Vov thì mô hình tính toán tín hiệu nhỏ chính
xác trong phạm vi 10%.

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 19


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

Điện trở ra được định nghĩa là tỷ số biến đổi điện áp VDS so với tỷ lệ biến đổi
dòng ID và bằng:
∆V DS

r0 =

∆ID

Dòng ID là hàm của cả điện áp gate-source và body-source, khi điện áp gatesource được đặt cố định thì thay đổi điện áp body-source sẽ làm thay đổi điện áp
ngưỡng VT. Do vậy thông thường cực body được nối với nguồn không đổi như vậy

sẽ tương đương là đất khi xét xoay chiều (ac). Nhưng khi có sự thay đổi điện áp
nguồn sẽ làm thay đổi VBS do vậy vẫn còn ảnh hưởng của VBS tới dòng ID, để đặc
trưng cho sự thay đổi này ta có hệ số hỗ dẫn gmb toán như sau:
gmb =

∂ID

∂V DS

Để tính dải tần hoạt động cho phép của MOS ta phải xét đến các tụ ký sinh
điển hình là các tụ hình thành giữa cực gate và source, gate-drain hay tụ giữa drain
và source. Ngoài ra còn có các tụ hình thành giữa cực body và các cực khác. Tóm
lại khi xét cả các tụ ký sinh ta có mô hình tổng quát tín hiệu nhỏ của MOS như sau:

Hình1.9 Mô hình tương đương tín hiệu nhỏ của MOS
Với
Cgs = Cgd =

C

ox

2

WL

Khi transistor hoạt động ở vùng bão hoà (saturation).
Cgs =

2C


Thiết kế IC nguồn dựa trên công nghệ CMOS

ox

3

WL

, Cgd = 0

SVTH: Nguyễn Hoàng Long 20


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

Tần số cắt của MOS là:

g
2∏ C + C

fT = 1

gs

m
gb

+ C gd


Với ωT được suy ra từ hàm truyền đạt trong mô hình tín hiệu nhỏ
ω = ωT =

g
C +C
gs

m
gb

+ C gd

1.2.2. Một số mạch cơ bản trong mạch tích hợp
1.2.2.1. Ba tầng khuyếch đại đơn
Ba tầng khuyếch đại đơn giản: CS, CG, CD (S, G, D chung) là ba tầng
khuyếch đại quen thuộc với cấu trúc lần lượt như sau:

Tầng CS

Tầng CG

Tầng CD

Hình 1.10 Ba tầng khuyếch đại cơ bản
*Gm là hỗ dẫn của transistor ,bị phụ thuộc vào dòng phân cực DC
*r0 là điện trở Early của transistor phụ thuộc vào chiều dài kênh và công nghệ.
Trong các vi mạch người ta không dùng các điện trở RD vì chúng tốn diện
tích, mà dùng tải tích cực như sẽ nói dưới đây, để làm hệ số khuyếch đại cao và tiết
kiệm diện tích layout.


Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 21


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

Đặc điểm:
Rin

Rout

Hệ số khuyếch đại
điện áp

Tầng CS



RD//r0

Gm*(RD//r0)

Tầng CG

1/Gm

RD//r0


Gm*(RD//r0)

( 1/Gm)//RL

1

Tầng CD



1.2.2.2. Mạch Cascode
Các mạch cascode có ưu điểm tăng trở lối ra, điều này có ý nghĩa khi cần tạo
một thiên áp chuẩn từ một nguồn biến đổi rộng.

Hình 1.11 Mạch cascode
Với các đặc điểm:
Ri → ∞
Gm=gm1 (Gm là hỗ dẫn ngắn mạch toàn mạch, gm1 là hỗ dẫn của M1)
Av=Gm*R (Av là Hệ số khuyếch đại áp)
Rout=gm2*r01*r02
Ngoài lợi điểm Rout lớn, mạch Cascode còn có tần số hoạt động cao hơn cấu
trúc CS mà vẫn đảm bảo Ri lớn. Đó là do điện trở tải của tầng CS nhỏ bằng 1/gm2.

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 22


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG

TRONG THIẾT KẾ

1.2.2.3. Mạch khuyếch đại thuật toán
Mạch khuyếch đại thuật toán (OPAM) sử dụng trong IC MOS cũng giống như
OPAM bình thường: tầng đầu tiên của nó bao giờ cũng là tầng khuyếch đại vi sai
dùng tải tích cực. Sau đó là tầng khuyếch đại CS cũng dùng tải tích cực. Thông
thường người ta không chế tạo OPAM quá 3 tầng vì sẽ gây khó khăn cho việc bù
pha.
M8, M5, M7 là 3 transistor có dòng soi gương. M5 dùng làm nguồn dòng, M7
dùng làm tải tích cực cho tầng CS. M1, M2 làm thành cặp difrentpair. M4 là tải tích
cực kiểu bù của mạch khuyếch đại vi sai M1, M2. Yêu cầu M2, M1 và M3, M4 phải
giống nhau về mọi thông số để mạch có tính chất đối xứng và ofset nhỏ.

Hình 1.12 Sơ đồ OPAM 2 tầng
Mạch hoạt động như sau: khi áp đầu P cao hơn đầu N dòng qua M1 giảm, dòng
qua M2 tăng, do M3 soi gương với M4 nên M4 cũng được điều khiển theo xu hướng
dòng qua nó cũng giảm đi bằng đúng lượng dòng mà M2 được tăng lên. Nếu ngắn
mạch AC tại đầu out của mạch vi sai, ta sẽ nhận được dòng ra bằng 2 lần dòng ra từ
M2. Vì vậy mặc dù lấy đầu ra tại một điểm (mạch vi sai bình thường lấy tại 2 điểm)
Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 23


CHƯƠNG 1: TỔNG QUAN VỀ CÔNG NGHỆ CMOS VÀ CÁC MẠCH ĐIỆN CƠ BẢN DÙNG
TRONG THIẾT KẾ

nhưng Gm của mạch không giảm so với mạch vi sai bình thường và nó cũng chính
bằng gm2 = gm1. Nếu đầu ra không mắc tải, dòng dư này sẽ chạy qua r02//r04 và gây
ra một điện áp đầu ra lớn. Thông thường hệ số khuyếch đại của tầng này có thể đạt

40dB.
Cc trong mạch trên là tụ bù pha cho OPAM, đảm bảo khi mắc hồi tiếp mạch
không bị rơi vào tình trạng dao động. Đây là kiểu bù dùng tụ Miler, gía trị tương
đương của Cc khi qui đổi về nối giữa đầu ra của cặp vi sai và đất là Av*Cc (Av là
hệ số khuyếch đại của tầng CS). Tụ này sẽ gây một điểm cực chính gần gốc tọa độ
(trên trục tần số) và làm đặc tuyến biên độ của nó suy giảm tới 0dB trước khi điểm
cực thứ 2 xuất hiện.
1.2.2.4. Mạch gương dòng
Gương dòng được tạo ra bằng cách sử dụng các linh kiện tích cực và được
ứng dụng rộng rãi trong mạch tổ hợp tương tự. Một gương dòng là một mạch với ít
nhất là 3 cực như hình vẽ sau:

Hình 1.13 Sơ đồ khối cấu trúc mạch gương dòng
(a) cực chung nối đất. (b) cực chung nối nguồn cung cấp
Một gương dòng là một cấu kiện có một cực cấp nguồn chung, một cực nhận
dòng điện vào, một cực làm đầu đưa dòng ra. Tính chất của gương dòng là
Iout=Iin*k. Trong đó k là hệ số khuyếch đại của gương dòng. Khi này ta thấy đầu ra

Thiết kế IC nguồn dựa trên công nghệ CMOS

SVTH: Nguyễn Hoàng Long 24


×