Tải bản đầy đủ (.pdf) (142 trang)

NGHIÊN CỨU, XÂY DỰNG GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRUYỀN TRÊN MẠNG IP BẰNG THIẾT BỊ PHẦN CỨNG CHUYÊN DỤNG

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (3.4 MB, 142 trang )

BỘ THÔNG TIN VÀ TRUYỀN THÔNG
HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG

PHẠM MẠNH TUẤN

NGHIÊN CỨU, XÂY DỰNG GIẢI PHÁP
BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRUYỀN
TRÊN MẠNG IP BẰNG THIẾT BỊ PHẦN CỨNG
CHUYÊN DỤNG

LUẬN ÁN TIẾN SĨ KỸ THUẬT

\

Hà Nội - Năm 2017


BỘ THÔNG TIN VÀ TRUYỀN THÔNG
HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG

PHẠM MẠNH TUẤN

NGHIÊN CỨU, XÂY DỰNG GIẢI PHÁP
BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRUYỀN
TRÊN MẠNG IP BẰNG THIẾT BỊ PHẦN CỨNG
CHUYÊN DỤNG

Chuyên ngành : Kỹ thuật Điện tử
Mã số: 62.52.02.03

LUẬN ÁN TIẾN SĨ KỸ THUẬT



NGƯỜI HƯỚNG DẪN KHOA HỌC:
1. PGS.TS Lê Mỹ Tú
2. TS. Vũ Tuấn Lâm

Hà Nội - Năm 2017


I

LỜI CAM ĐOAN
Tôi xin cam đoan các kết quả trình bày trong luận án là công trình nghiên
cứu của tôi dưới sự hướng dẫn của các cán bộ hướng dẫn. Các số liệu, các kết quả
trình bày trong luận án hoàn toàn trung thực và chưa được công bố trong các công
trình trước đây. Các kết quả sử dụng tham khảo đều được trích dẫn đầy đủ và theo
đúng quy định.
Hà Nội, ngày

tháng

năm 2017

Nghiên cứu sinh

Đỗ Thị Bắc


II

LỜI CẢM ƠN

Trong quá trình nghiên cứu và hoàn thành luận án, nghiên cứu sinh đã nhận
được sự định hướng, giúp đỡ, các ý kiến đóng góp quý báu và những lời động viên
của các nhà khoa học, các thầy cô giáo, đồng nghiệp và gia đình.
Trước hết, nghiên cứu sinh xin bày tỏ lời cảm ơn tới các Thầy PGS.TS Lê
Mỹ Tú, TS. Vũ Tuấn Lâm, PGS.TS Nguyễn Hiếu Minh đã tận tình hướng dẫn và
giúp đỡ trong quá trình nghiên cứu.
Cho phép nghiên cứu sinh chân thành cảm ơn các thầy cô giáo, các nhà khoa
học của Học viện Kỹ thuật Mật mã, Học viện Công nghệ Bưu chính-Viễn thông,
Học viện Kỹ thuật Quân sự ... đã có các góp ý quý báu cho nghiên cứu sinh trong
quá trình thực hiện luận án này.
Nghiên cứu sinh chân thành cảm ơn Ban Giám đốc, Phòng Sau đại học đã tạo
điều kiện thuận lợi để nghiên cứu sinh hoàn thành nhiệm vụ nghiên cứu.
Cuối cùng nghiên cứu sinh bày tỏ lời cảm ơn tới các đồng nghiệp, gia đình,
bạn bè đã luôn động viên, chia sẻ, ủng hộ và giúp đỡ nghiên cứu sinh vượt qua khó
khăn để đạt được những kết quả nghiên cứu trong luận án này.
Nghiên cứu sinh


III

MỤC LỤC
LỜI CAM ĐOAN .................................................................................................. I
LỜI CẢM ƠN ....................................................................................................... II
MỤC LỤC........................................................................................................... III
DANH MỤC CÁC KÍ HIỆU ...............................................................................IX
DANH MỤC CÁC BẢNG BIỂU ......................................................................... X
DANH MỤC CÁC HÌNH VẼ ........................................................................... XII
MỞ ĐẦU ........................................................................................................... XIV
Tính cấp thiết của đề tài nghiên cứu ................................................................... XIV
Mục đích nghiên cứu ........................................................................................... XV

Nhiệm vụ nghiên cứu .......................................................................................... XV
Đối tượng và phạm vi nghiên cứu ....................................................................... XVI
Phương pháp nghiên cứu .................................................................................... XVI
Ý nghĩa lý luận và thực tiễn của luận án ............................................................. XVI
Bố cục của luận án............................................................................................. XVII
CHƯƠNG 1. TỔNG QUAN VỀ GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI
GIAN THỰC TRÊN MẠNG IP ............................................................................ 1
1.1. Giới thiệu.......................................................................................................... 1
1.2. Tổng quan về một số giao thức bảo mật dữ liệu thời gian thực.......................... 3

1.2.1. Giao thức bảo mật truyền thời gian thực SRTP .............................. 3
1.2.2. Giao thức bảo mật IPSec ................................................................ 3
1.3. Tổng quan về tình hình nghiên cứu thuật toán mật mã khối .............................. 5

1.3.1. Tổng quan tình hình nghiên cứu ngoài nước .................................. 5
1.3.2. Tổng quan tình hình nghiên cứu trong nước ................................... 8
1.4. Hướng nghiên cứu của luận án.......................................................................... 9
1.5. Một số cơ sở lý thuyết trong phát triển thuật toán mật mã khối ......................... 9

1.5.1. Hàm logic (hàm boole) ................................................................... 9
1.5.2. Mật mã khối ................................................................................. 10
1.6. Nguyên lý thiết kế

mạng chuyển vị thay thế điều khiển được (CSPN) ......... 15

1.6.1. Lớp phần tử nguyên thủy mật mã điều khiển được P2/1 ................. 15


IV


1.6.2. Lớp phần tử nguyên thủy mật mã điều khiển được F2/1 ................. 19
1.6.3. Lớp phần tử nguyên thủy mật mã điều khiển được F2/2 ................. 21
1.6.4. Kiến trúc mạng chuyển vị-thay thế điều khiển được Fn/m .............. 23
1.7. Kết luận chương ............................................................................................. 25
CHƯƠNG 2. CẢI TIẾN THUẬT TOÁN MẬT MÃ KHỐI SPECTR-128
DÙNG CHO BẢO MẬT DỮ LIỆU THỜI GIAN THỰC.................................. 27
2.1. Mô tả thuật toán mật mã khối SPECTR-128 ................................................... 27

2.1.1. Đặc điểm thiết kế .......................................................................... 27
2.1.2. Mô tả chung thuật toán................................................................. 28
2.1.3. Lược đồ khóa................................................................................ 30
2.1.4. Biến đổi khởi đầu và kết thúc........................................................ 31
2.1.5. Vòng mã hóa Crypt....................................................................... 32
2.1.6. Hàm phi tuyến G .......................................................................... 33
2.1.7. CP P64/192 và P 164/192 .................................................................... 35
2.1.8. Khối mở rộng E ............................................................................ 37
2.2. Phân tích độ an toàn của thuật toán SPECTR-128 ........................................... 38

2.2.1. Đánh giá độ an toàn đối với thám mã lượng sai ........................... 38
2.2.2. Đánh giá độ an toàn đối với thám mã tuyến tính .......................... 46
2.3. Cải tiến thuật toán mật mã khối SPECTR-128 ................................................ 50
2.4. Đánh giá hiệu quả của thuật toán cải tiến trên FPGA ...................................... 53
2.5. Kết luận chương ............................................................................................. 54
CHƯƠNG 3. XÂY DỰNG MỘT SỐ THUẬT TOÁN MẬT MÃ KHỐI DỰA
TRÊN CÁC LỚP NGUYÊN THỦY MẬT MÃ F2/1 VÀ F2/2 .............................. 55
3.1. Phát triển họ thuật toán mật mã khối tốc độ cao .............................................. 55

3.1.1. Họ thuật toán dựa trên CE F2/1 ..................................................... 56
3.1.2. Họ thuật toán dựa trên CE F2/2 ..................................................... 68
3.2. Phân tích độ an toàn của các thuật toán đề xuất............................................... 70


3.2.1. Phân tích độ an toàn của thuật toán dựa trên F2/1 ........................ 70
3.2.2. Phân tích độ an toàn của thuật toán dựa trên F2/2 ........................ 75


V

3.3. Phân tích hiệu quả thực hiện của các thuật toán đề xuất trên FPGA ................ 78

3.3.1. Hiệu quả của thuật toán dựa trên F2/1........................................... 79
3.3.2. Hiệu quả của thuật toán dựa trên F2/2........................................... 79
3.4. Kết luận chương ............................................................................................. 81
CHƯƠNG 4. PHÁT TRIỂN NGUYÊN THỦY MẬT MÃ F2/4VÀ XÂY DỰNG
MỘT SỐ THUẬT TOÁN MẬT MÃ KHỐI ....................................................... 82
4.1. Phát triển lớp nguyên thủy mật mã F2/4 ........................................................... 82

4.1.1. Xây dựng các CE F2/4 ................................................................... 82
4.1.2. Phân tích các đặc tính mật mã của các CE F2/4 ............................ 86
4.1.3. Xây dựng kiến trúc CSPN dựa trên CE F2/4 .................................. 89
4.2. Phát triển một số thuật toán mật mã khối tốc độ cao ....................................... 95

4.2.1. Tiêu chí thiết kế ............................................................................ 95
4.2.2. Mô tả thuật toán ........................................................................... 95
4.2.3. Thiết kế lược đồ khóa ................................................................. 100
4.3. Phân tích độ an toàn của các thuật toán đề xuất............................................. 101

4.3.1. Đánh giá các tiêu chuẩn thống kê............................................... 101
4.3.2. Đánh giá độ an toàn đối với thám mã lượng sai ......................... 102
4.4. Phân tích hiệu quả thực hiện của các thuật toán đề xuất trên FPGA .............. 105
4.5. Kết luận chương ........................................................................................... 106

KẾT LUẬN ........................................................................................................ 107
DANH MỤC CÁC CÔNG TRÌNH ĐÃ CÔNG BỐ .............................................. i
DANH MỤC TÀI LIỆU THAM KHẢO .............................................................. ii
PHỤ LỤC .............................................................................................................. ix
A. Đánh giá đặc trưng thống kê theo tiêu chuẩn NESSIE ....................................... ix
B. Mô hình tích hợp mật mã khối trên FPGA ........................................................ xii


VI

DANH MỤC CÁC CHỮ VIẾT TẮT
Chữ tắt

Nghĩa tiếng Anh

Nghĩa tiếng Việt

AES

Advanced Encryption Standard

Chuẩn mã hóa tiên tiến

ASIC

Application Specific Integrated
Circuit

Vi mạch tích hợp chuyên
dụng


CBC

Cipher Block Chaining

Chế độ mã xích khối

CO

Controlled Operator

Toán tử điều khiển được

COS

Controlled Operational
Substitution

Thay thế điều khiển được

CE

Controlled Element

Phần tử điều khiển được

CFB

Cipher FeedBack


Chế độ mã phản hồi

CLB

Configurable Logic Block

Khối logic lập trình được

CP

Controlled Permutation

Hoán vị điều khiển được

CTPO

data-dependent Controlled
Two-Place Operation

Toán tử hai vị trí điều khiển
được phụ thuộc dữ liệu

CTR

Counter mode

Chế độ mã đếm

CSPN


Controlled Substitution
Permutation Network

Mạng chuyển vị thay thế điều
khiển được

DA

Differential Attack

Tấn công vi sai

DC

Differential Characteristic

Đặc trưng vi sai

DCA

Differential CryptAnalysis

Thám mã lượng sai

DDO

Data Dependent Operation

Toán tử phụ thuộc dữ liệu


DDP

Data Dependent Permutation

Hoán vị phụ thuộc dữ liệu

DDR

Data Dependent Rotation

Phép quay phụ thuộc dữ liệu

DES

Data Encryption Standard

Tiêu chuẩn mã hóa dữ liệu

ECB

Electronic Code Book

Chế độ mã sách điện tử

FPGA

Field Programmable Gate
Array

Mảng cổng lập trình được

dạng trường

FT

Final Transformation

Biến đổi cuối

Hash-based Message

Mã xác thực bản tin dựa trên

Authentication Code- Secure
Hash Algorithm 1

hàm băm - Thuật toán băm an
toàn 1

Internet Engineering Task

Nhóm đặc trách kỹ thuật

HMACSHA1
IETF


VII

Force


Internet

IKS

Internal Key Scheduling

Lược đồ sinh khóa nội vi

IL

Iterative Looping

Chế độ lặp cơ sở

IT

Initial Transformation

Biến đổi đầu
Khối thay thế điều khiển

KTTĐKĐ

được

LA

Linear Attack

Tấn công tuyến tính


LC

Linear Characteristics

Đặc trưng tuyến tính

LCA

Linear CryptAnalysis

Thám mã tuyến tính

NIST

National Institute of Standards
and Technology

Viện Tiêu chuẩn và Công
nghệ quốc gia Hoa kỳ

NewEuropean Schemes for
Signatures, Integrity and

Chuẩn Châu Âu cho Chữ ký

NESSIE

Encryption


số, Tính toàn vẹn và Mã hóa

NL

Non Linearity

Phi tuyến

OFB

Output FeedBack

Chế độ mã phản hồi đầu ra

PE

Primitive Element

Phần tử nguyên thủy mật mã

PP

Pipeline

Chế độ đường ống toàn phần

QoS

Quality of Service


Chất lượng dịch vụ

RTP

Realtime Transport Protocol

Giao thức truyền dữ liệu thời
gian thực

RTCP

RTP Control Protocol

Giao thức điều khiển giao
thức truyền thời gian thực

SAC

Strict Avalanche Criteria

Tiêu chuẩn thác lũ chặt

SDDO

Switchable Data Dependent
Operation

Toán tử phụ thuộc dữ liệu
chuyển mạch


SDDP

Switchable Data Driven
Permutation

Hoán vị phụ thuộc dữ liệu
chuyển mạch

SPN

Substitution Permutation
Network

Mạng hoán vị thay thế

Secure Real-time Transport

Giao thức bảo mật truyền

Protocol

thời gian thực

Transmission Control Protocol

Giao thức điều khiển truyền
vận

SRTP
TCP



VIII

TLS

Transport Layer Security

TMN

Bảo mật lớp vận chuyển
Thuật toán phát triển
Giao thức gói dữ liệu người

UDP

User Datagram Protocol

VoIP

Voice over Internet Protocol

Thoại trên giao thức internet

XSL

eXtended Sparse Linearization

Tuyến tính thưa thớt mở rộng


dùng


IX

DANH MỤC CÁC KÍ HIỆU
1. {0, 1} là tập các véc tơ nhị phân

chiều

=(

,

…,

), ∀ = 1. . ,

∈ {0, 1}.
( )=∑

2.

là khoảng cách Hamming của véc tơ nhị phân U.

3. Fn/m: phần tử điều khiển được với n bit dữ liệu vào và m bit điều khiển.
4.

/


: phần tử nghịch đảo của phần tử Fn/m.

5.

( )
/

6.

( , )
/ :

: toán tử điều khiển chuyển mạch (e là bit chuyển mạch) của Pn/m.
toán tử SDDO với e là bit điều khiển chuyển mạch, L là dữ liệu vào

của SDDO, Fn/m là phần tử điều khiển được.
7. L<<8. P

=

(∆

∆ , ∆ ): xác xuất đầu ra có vi sai là ∆ với điều kiện vi sai

đầu vào là ∆ và vi sai của véc tơ điều khiển là ∆ , trong đó: (∆ ) =
; (∆ ) = ; (∆ ) = .
9.

( ) ≝ max

(∆ ) =



(∆

∆ , ∆ ): là hàm xác xuất vi sai lớn nhất khi


X

DANH MỤC CÁC BẢNG BIỂU
Bảng 2.1. Mô tả các khóa con cho các vòng mã hóa........................................... 31
Bảng 2.2. Phân bố của các bit của véctơ U.......................................................... 38
Bảng 2.3. Các giá trị của xác suất

P64 192


P  (  qR 
 gR )   qL 




39

..........................
Bảng 2.4. Thay đổi các bit đầu ra gây ra bởi sự thay đổi 1 bit đơn (li=1) tại
đầu vào của toán tử ...........................................................................................

Bảng 2.5. Các giá trị của xác suất
Bảng 2.6. Các giá trị của xác suất

G
P ( 1Li 
  Yg )

41

.......................................... 42

G
P ( 1Li 
  Y2i i )

........................................ 42

Bảng 2.7. Phân bố các bit của véctơ U ............................................................... 50
Bảng 2.8. So sánh hiệu quả tích hợp của các thuật toán trên FPGA................. 53
Bảng 3.1. Lược đồ sử dụng khóa trong TMN64 (Với R = 10)............................ 68
Bảng 3.2. Lược đồ sử dụng khóa trong TMN128 (Với R = 14).......................... 68
Bảng 3.3. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật
toán TMN64)....................................................................................................... 71
Bảng 3.4. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật
toán TMN128).................................................................................................... 71
Bảng 3.5. Đặc trưng vi sai của CE F2/1 sử dụng trong các thuật toán đề xuất...

72

Bảng 3.6. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật

toán TMN64)...................................................................................................... 75
Bảng 3.7. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật
toán TMN128)..................................................................................................... 75
Bảng 3.8. Đặc trưng vi sai của CE F2/2 sử dụng trong các thuật toán đề xuất.

76

Bảng 3.9. Đặc trưng vi sai của các họ thuật toán phát triển dựa trên CE F2/1 và
CE F2/2.................................................................................................................. 78
Bảng 3.10. Mô tả hiệu quả tích hợp của các họ thuật toán (2/1)TMN trên
FPGA trong chế độ vòng lặp cơ sở và đường ống toàn phần............................. 79
Bảng 3.11. Mô tả hiệu quả tích hợp của các họ thuật toán (2/2)TMN trên
FPGA trong chế độ vòng lặp cơ sở và đường ống toàn phần............................. 79


XI

Bảng 3.12. Mô tả hiệu quả tích hợp của các họ thuật toán chung khảo AES
trên FPGA trong chế độ vòng lặp cơ sở (sử dụng bộ mã nguồn VHDL của
NSA).................................................................................................................... 80
.... 3.13. Mô tả hiệu quả tích hợp của các họ thuật toán chung khảo AES
Bảng
trên FPGA trong chế độ đường ống (sử dụng bộ mã nguồn VHDL của NSA)... 80
Bảng 4.1. Giá trị phi tuyến của tập các BF......................................................... 86
Bảng 4.2. Các đặc trưng vi sai của CE F2/4......................................................... 87
Bảng 4.3. Các chuyển dịch đối với các CE F2/4 cho phương án 4 ở bảng 4.1

88

Bảng 4.4. Tất cả các khả năng của các dạng CSPN với kích thước dữ liệu vào

từ 2 đến 128 bit................................................................................................... 93
Bảng 4.5. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật
toán TMN64)...................................................................................................... 101
Bảng 4.6. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật
toán TMN128).................................................................................................... 101
Bảng 4.7. Đặc trưng vi sai của các họ thuật toán phát triển dựa trên CE F2/1,
CE F2/2 và CE F2/4............................................................................................... 104
Bảng 4.8. Mô tả hiệu quả tích hợp của các họ thuật toán TMN64 trên FPGA
trong chế độ vòng lặp cơ sở............................................................................... 105
Bảng 4.9. Mô tả hiệu quả tích hợp của các họ thuật toán TMN128 trên FPGA
trong chế độ vòng lặp cơ sở................................................................................ 105
Bảng 4.10. Mô tả hiệu quả tích hợp của các họ thuật toán TMN64 trên FPGA
trong chế độ đường ống toàn phần...................................................................... 105
Bảng 4.11. Mô tả hiệu quả tích hợp của các họ thuật toán TMN128 trên FPGA 106


XII

DANH MỤC CÁC HÌNH VẼ
Hình 1.1. Kiến trúc giao thức IPSec..................................................................... 4
Hình 1.2. Cấu trúc mạng Feistel......................................................................... 11
Hình 1.3. Cấu trúc mạng SPN.............................................................................. 12
Hình 1.4. Cấu trúc của Pn/m................................................................................... 16
Hình 1.5. Cấu trúc của CP thuận (a) và CP nghịch đảo (b)................................. 18
Hình 1.6. Cấu trúc biểu diễn của CE F2/1............................................................. 20
Hình 1.7. Cấu trúc biểu diễn của CE F2/2............................................................. 22
Hình 1.8. Cấu trúc tổng quát của CSPN Fn/m(a) và F-1n/m (b) xây dựng từ F2/1..... 25
Hình 2.1. Cấu trúc chung của thuật toán SPECTR-128....................................... 29
Hình 2.2. Các khóa con của thuật toán................................................................. 30
Hình 2.3. Biến đổi khởi đầu (a) và biến đổi cuối (b) .......................................... 32

Hình 2.4. Cấu trúc của thủ tục Crypt.................................................................... 33
Hình 2.5. Cấu trúc của khối P64/192 (a) và P-164/192 (b).................................. 36
Hình 2.6. Đặc trưng vi sai của CE P2/1................................................................. 39
Hình 2.7. Một số đặc trưng vi sai của CP............................................................. 41
Hình 2.8. Hình thành đặc trưng vi sai hai vòng với trường hợp 1....................... 44
Hình 2.9. Hình thành đặc trưng tuyến tính của một vòng.................................... 49
Hình 2.10. Hình thành đặc trưng vi sai của ba vòng............................................ 52
Hình 3.1. Sơ đồ tổng quát của hai thuật toán (a); sơ đồ một vòng mã hóa cơ sở
của TMN64 (b); sơ đồ một vòng mã hóa cơ sở của TMN128 (c)........................ 58
Hình 3.2. Các CSPN F32/96(a) và F−132/96 (b)......................................................... 59
Hình 3.3. Các CSPN F8/12(a) và F−18/12 (b)........................................................... 59
Hình 3.4. Các CSPN Si(a); khối Sd(b); khối S-1d(c).............................................. 60
Hình 3.5. CE P2/1(a); khối P16/8(b); khối P32/16(c)................................................. 60
Hình 3.6. Các CSPN F64/192(a) và F−164/192 (b) ..................................................... 61
Hình 3.7. CSPN Si(a); khối Sd(b); khối S-1d(c)..................................................... 62
Hình 3.8. Sơ đồ chung của các thuật toán đề xuất khi sử dụng lược đồ khóa

vòng “cùng bay”…………………………………………………………. 64
Hình 3.9. Thủ tục Q_exp để mở rộng khóa mật hình thành khóa vòng “cùng
bay”...................................................................................................................... 67
Hình 3.10. Sơ đồ tổng quát của hai thuật toán (a); sơ đồ một vòng mã hóa cơ
sở của TMN64 (b); sơ đồ một vòng mã hóa cơ sở của TMN128 (c)................... 69
Hình 3.11. Các CSPN F32/192(a) và F−132/192 (b).................................................... 69


XIII

Hình 3.12. Các CSPN F64/384 (a) và F−164/384 (b).................................................. 70
Hình 3.13. Các CSPN F8/24(a) và F−18/24 (b)......................................................... 70
Hình 3.14. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với

xác suất P2  2-31 (thuật toán TMN64)................................................................. 72
Hình 3.15. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với
xác suất P2  2-32(thuật toán TMN128)................................................................ 74
Hình 3.16. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với
xác suất P2  2-36 (thuật toán TMN64)................................................................. 76
Hình 3.17. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với
xác suất P2  2-37 (thuật toán TMN128)............................................................... 77
Hình 4.1. Phần tử điều khiển được F2/4 (a) và biểu diễn nó trong dạng cặp các
hàm Boolean (b)................................................................................................... 83
Hình 4.2. 10 phương án phù hợp tiêu chuẩn lựa chọn thứ ba, chúng thực hiện
các biến đổi ( x1, x2 )  ( y1 , y2 ) là xoắn.............................................................. 85
Hình 4.3. Các đặc trưng vi sai của CE F2/4........................................................... 87
Hình 4.4. CSPN sử dụng CE F2/4.......................................................................... 90
Hình 4.5. Xây dựng CSPN với cấu trúc lặp loại thứ nhất (a) và cấu trúc lặp
loại thứ hai (b)...................................................................................................... 91
Hình 4.6. Xây dựng CSPN với cấu trúc lặp loại thứ ba....................................... 92
Hình 4.7. Sơ đồ tổng quát của 2 thuật toán (a); sơ đồ một vòng mã hóa cơ sở
của thuật toán TMN64 (b); sơ đồ một vòng mã hóa cơ sở của thuật toán
TMN128 (c).......................................................................................................... 96
Hình 4.8. CSPN F32/384 (a) và F−132/384 (b)............................................................. 97
Hình 4.9. CSPN F8/48 (a) và F−18/48 (b) ................................................................ 97
Hình 4.10. CSPN Si (a); khối Sd (b); khối S-1d (c.................................................. 98
Hình 4.11. CE P2/1(a); khối P16/8(b);khối P32/16(c)
Hình 4.12. CSPN F64/768 (a) và F

−1
64/768

98


(b)........................................................... 99

Hình 4.13. Mạng chuyển vị-thay thế điều khiển được Si (a); khối toán tử điều
khiển được Sd (b); khối toán tử điều khiển được S-1d (c)................................... 100
Hình 4.14. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với
xác suất P2  2-64 (thuật toán TMN64)…………………………………………. 103
Hình 4.15. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với
xác suất P2  2-65 (thuật toán TMN128)............................................................... 104


XIV

MỞ ĐẦU
Tính cấp thiết của đề tài nghiên cứu
Ngày nay với sự phát triển nhanh của công nghệ thông tin và mạng Internet,
bảo mật dữ liệu thời gian thực truyền trên mạng IP đóng vai trò quan trọng trong
việc bảo vệ an toàn dữ liệu của các cá nhân và các tổ chức. Xã hội hiện nay ngày
càng phát triển, nhu cầu sử dụng các dịch vụ Internet càng lớn và không ngừng
được nâng cao để có thể đáp ứng được xu thế thời đại. Các dịch vụ thời gian thực
trên mạng Internet cũng ngày càng phát triển và thu hút được lượng lớn người sử
dụng ví dụ như các hệ thống truyền thông đa phương tiện, hệ thống dự phòng thảm
họa, và hệ thống phân tích dữ liệu khoa học... Đối mặt với sự phát triển này, việc
xâm phạm dưới các hình thức khác nhau có thể ảnh hưởng nặng nề tới các cá nhân
và tổ chức xã hội. Do vậy, nhu cầu an toàn an ninh cho dữ liệu thời gian thực
truyền trên mạng IP trở nên cấp thiết và đòi hỏi ở các mức độ cao hơn.
Tuy nhiên trên thực tế lại hình thành một mâu thuẫn giữa nhu cầu phát triển
các ứng dụng dịch vụ trên mạng IP với các nguy cơ an toàn về thông tin. Điều này
dễ dàng có thể hiểu được vì mạng IP là một mạng mở. Giải pháp hiệu quả nhất
nhằm bảo đảm sự an toàn thông tin trong các mạng này là sử dụng mật mã [3, 24].
Các giải pháp mật mã trong các mạng này luôn phải đảm bảo cả 3 yêu cầu đó là:

bảo mật dữ liệu, kiểm tra toàn vẹn dữ liệu và xác thực thông tin.
Song mật mã vẫn luôn là một vấn đề thời sự bởi tính ứng dụng của nó. Hiện
tại, các giải pháp bảo mật của công nghệ IP vẫn sử dụng các thuật toán mật mã
thông dụng dùng cho cả các ứng dụng phần mềm và phần cứng mà chưa có các
thuật toán mật mã phù hợp với các ứng dụng phần cứng chuyên dụng. Đó là
nguyên nhân tại sao các giải pháp mật mã khi tích hợp thường chưa tối ưu cho các
ứng dụng dữ liệu thời gian thực.
Với cách tiếp cận như trên, việc nghiên cứu các thuật toán mật mã theo xu
hướng mới (có tốc độ và có hiệu quả tích hợp cao, phù hợp triển khai trên phần
cứng đảm bảo độ an toàn,...) nhằm đáp ứng yêu cầu ngày càng cao của các ứng
dụng là tất yếu. Hơn nữa, trong điều kiện mà nhiều thuật toán mã hóa truyền thống
đã bị chứng minh là yếu hoặc có lỗ hổng hoặc không phù hợp trong các ứng dụng


XV

dữ liệu thời gian thực như hiện nay thì xu hướng mới lại càng cần thiết hơn. Do đó
hướng nghiên cứu của luận án hoàn toàn phù hợp với yêu cầu thực tiễn của cuộc
sống cũng như khoa học.
Mục đích nghiên cứu
Về lý thuyết, luận án nhằm nghiên cứu về các nội dung sau:
-

Nghiên cứu các thuật toán mật mã khối, một số giao thức bảo mật dữ liệu thời
gian thực, cơ sở lý thuyết về thiết kế thuật toán mật mã khối. Trên cơ sở đó đề
xuất một số thuật toán mật mã khối phù hợp, an toàn và đảm bảo có hiệu quả
tích hợp cho phần cứng chuyên dụng dạng VLSI (FPGA, ASIC). Đồng thời,
đánh giá các thuật toán đề xuất về độ an toàn, hiệu quả tích hợp trên phần
cứng FPGA theo một số tiêu chuẩn đánh giá trên thế giới, từ đó làm cơ sở cho
việc thiết kế, chế tạo các thiết bị bảo mật dữ liệu thời gian thực chuyên dụng.


Về thực hành, luận án nhằm thực hiện các nội dung:
-

Xây dựng chương trình mô phỏng thông qua ngôn ngữ mô tả phần cứng
(VHDL) để từ đó đánh giá hiệu quả tích hợp của các thuật toán mật mã khối
phát triển trên phần cứng FPGA.

-

Xây dựng chương trình mô phỏng đánh giá các thuật toán đề xuất theo một số
tiêu chuẩn trên thế giới.

Nhiệm vụ nghiên cứu
-

Nghiên cứu lý thuyết về nguyên lý thiết kế thuật toán mật mã khối và nguyên
lý thiết kế cho các ứng dụng mật mã.

-

Cải tiến về độ an toàn một thuật toán mật mã khối phù hợp cho thiết kế thiết bị
bảo mật truyền dữ liệu thời gian thực chuyên dụng.

-

Phát triển một số thuật toán mật mã khối mới đảm bảo độ an toàn và có hiệu
quả tích hợp trên FPGA cao để ứng dụng cho thiết kế thiết bị bảo mật dữ liệu
thời gian thực chuyên dụng.


-

Đề xuất lớp phần tử nguyên thủy mật mã mới hiệu quả hơn, đồng thời phát
triển họ thuật toán mật mã khối dựa trên lớp phần tử này.


XVI

-

Đánh giá độ an toàn của các thuật toán mật mã khối.

Đối tượng và phạm vi nghiên cứu
-

Đối tượng: các thuật toán mật mã khối.

-

Phạm vi nghiên cứu: các thuật toán mật mã khối dựa trên mạng chuyển vị thay
thế điều khiển đươc (CSPN) có tốc độ nhanh, hiệu quả tích hợp cao trên nền
tảng phần cứng FPGA.

Phương pháp nghiên cứu
Nghiên cứu lý thuyết kết hợp với mô phỏng và đánh giá thực nghiệm trên cơ
sở một số tiêu chuẩn đánh giá trên thế giới. Cụ thể sử dụng kết hợp các nhóm
phương pháp nghiên cứu: phân tích, so sánh, tổng hợp, đánh giá và mô phỏng qua
phần mềm thực nghiệm.
Ý nghĩa lý luận và thực tiễn của luận án
Về lý luận, luận án đề xuất giải pháp để đảm bảo an ninh bằng mật mã cho

cho dữ liệu thời gian thực trên mạng IP sử dụng phần cứng chuyên dụng
(FPGA).Về thực tiễn, kết quả nghiên cứu của luận án sẽ góp phần tạo ra nhiều cơ
hội lựa chọn nhằm đảm bảo an toàn thông tin cho các tổ chức và cá nhân có nhu
cầu. Đây là nghiên cứu phù hợp cho các ứng dụng truyền dữ liệu thời gian thực
trên mạng IP nhưng vẫn phải đảm bảo tốc độ mã và giải mã cao, cũng như đảm
bảo chất lượng cho dịch vụ thời gian thực có bảo mật. Từ đó góp phần tạo ra sản
phẩm ứng dụng thực tiễn, hiệu quả và độ tin cậy cho các dịch vụ dữ liệu thời gian
thực. Tiến tới đưa thành tựu khoa học, các kỹ thuật mới trong mật mã để cải thiện
vấn đề an ninh trên mạng ở Việt Nam. Ngoài ra, kết quả nghiên cứu của đề tài
cũng góp phần làm nền tảng cho việc đưa các kết quả này vào việc phát triển nội
dung chương trình đào tạo, cụ thể tăng cường việc đề xuất đưa những nội dung
mới, tiên tiến trên thế giới về mật mã vào chương trình đào tạo ngành an ninh
mạng và công nghệ thông tin của các trường đại học nhằm nâng cao chất lượng
giáo dục.


XVII

Bố cục của luận án
Ngoài phần mở đầu giới thiệu về tính cấp thiết của luận án, mục đích, nhiệm
vụ, phương pháp, đối tượng, phạm vi, ý nghĩa khoa học và thực tiễn, các đóng góp
mới, luận án được chia thành 4 chương với bố cục như sau:
Chương 1: Tổng quan về giải pháp bảo mật dữ liệu thời gian thực trên mạng IP
Chương này tập trung vào trình bày tóm tắt tình hình nghiên cứu về dữ liệu
thời gian thực, một số giao thức bảo mật dữ liệu thời gian thực, các thuật toán mật
mã khối. Phân tích hướng tiếp cận của luận án về thuật toán mật mã khối và
phương pháp thực hiện thuật toán mật mã khối nhằm đảm bảo chất lượng dịch vụ
dữ liệu thời gian thực khi có tích hợp giải pháp bảo mật. Đồng thời, trong chương
này cũng trình bày một số cơ sở lý thuyết trong thiết kế thuật toán mật mã khối.
Chương 2: Cải tiến thuật toán mật mã khối Spectr-128 dùng cho bảo mật dữ liệu

thời gian thực
Dựa trên các kết quả đánh giá độ an toàn bằng các phân tích thám mã vi sai
và tuyến tính trên thuật toán mật mã khối SPECTR-128, trong chương sẽ tập trung
vào trình bày kết quả cải tiến thuật toán này về độ an toàn. Các phương án cải tiến
của thuật toán, sẽ được chứng minh về độ an toàn và hiệu quả thực hiện trên
FPGA nhằm sử dụng thuật toán này trong thiết kế thiết bị bảo mật dữ liệu thời
gian thực.
Chương 3: Xây dựng một số thuật toán mã khối dựa trên các lớp nguyên thủy mật
mã F2/1 và F2/2
Chương này sẽ trình bày một số kết quả nghiên cứu mới của luận án về kết
quả xây dựng một họ thuật toán mật mã khối mới dựa trên các lớp nguyên thủy
mật mã F2/1 và F2/2. Đây là các lớp phần tử điều khiển được (CE) đã được nghiên
cứu và chứng minh là rất phù hợp để phát triển các thuật toán mật mã khối có tốc
độ và hiệu quả tích hợp cao trên các nền tảng phần cứng kiểu VLSI (FPGA và
ASIC). Vì vậy các thuật toán này sẽ đảm bảo hoạt động hiệu quả và phù hợp cho
thiết kế thiết bị bảo mật dữ liệu thời gian thực.


XVIII

Chương 4: Phát triển nguyên thủy mật mã F2/4 và xây dựng một số thuật toán mật
mã khối
Chương này sẽ trình bày một số kết quả nghiên cứu mới của luận án về kết
quả phát triển lớp nguyên thủy mật mã F2/4 và xây dựng một số thuật toán mật mã
khối dựa trên lớp nguyên thủy mật mã này.


1

CHƯƠNG 1. TỔNG QUAN VỀ GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI

GIAN THỰC TRÊN MẠNG IP
Đây là chương trình bày tổng quan về giải pháp bảo mật dữ liệu thời gian thực
trên mạng IP với những nội dung chính sau: nghiên cứu tổng quan dữ liệu thời gian
thực, tổng quan về một số giao thức bảo mật dữ liệu thời gian thực, tổng quan về
thuật toán mật mã khối và một số cơ sở thiết kế thuật toán mật mã khối phù hợp cho
bảo mật dữ liệu thời gian thực.
Thông qua đó xác định rõ vấn đề đặt ra cần nghiên cứu, vị trí vấn đề nghiên
cứu, xu hướng và giải pháp giải quyết vấn đề đặt ra.
1.1. Giới thiệu
Dữ liệu thời gian thực là dữ liệu không được lưu trữ hoặc lưu trữ nhưng nó
được chuyển đến người dùng cuối nhanh nhất khi nó được thu thập. Xử lý dữ liệu
thời gian thực không chỉ yêu cầu đảm bảo tính đúng đắn của dữ liệu mà còn yêu cầu
thời gian xử lý dữ liệu nhanh, kịp thời. Có rất nhiều ứng dụng yêu cầu dữ liệu đáp
ứng thời gian thực như trong ngành công nghiệp sản xuất, kiểm soát tiến trình
(trong nhà máy, hay trong viện hạt nhân, trong hệ thống hàng không, thông qua các
hệ thống dẫn đường tích hợp trên máy bay và vệ tinh), y tế, và các hệ thống xử lý cơ
sở dữ liệu thời gian thực như các hệ thống truyền thông đa phương tiện, hệ thống dự
phòng thảm họa, và hệ thống phân tích dữ liệu khoa học.
Các ứng dụng thời gian thực cần đảm bảo chất lượng dịch vụ của mạng để
thoả mãn nhu cầu sử dụng. Chất lượng dịch vụ được mong đợi có ở mạng được gọi
là tham số chất lượng dịch vụ (QoS). Các ứng dụng thời gian thực thường có các
yêu cầu nghiêm ngặt về các tham số QoS như sau: băng tần, độ trễ, jitter, độ mất
mát.
Độ Trễ: Việc phân phát thành công một gói dữ liệu bởi mạng giao tiếp trong
ứng dụng thời gian thực không chỉ tuỳ thuộc vào việc nhận gói dữ liệu mà còn phụ
thuộc vào thời gian mà gói dữ liệu nhận được. Nếu như thời gian mà mạng cần để
phân phát gói dữ liệu vượt quá giới hạn trễ nhất định thì ứng dụng sẽ vượt quá thời
gian và kết quả là làm suy giảm chất lượng dịch vụ thời gian thực .



2

Jitter: Jitter được định nghĩa là biến đổi lớn nhất trong việc trễ bởi các gói tin
trong một phiên đơn. Nói một cách khác, điều này khác với độ trễ lớn nhất và nhỏ
nhất mà gói truyền trong mạng chuyển gói. Ví dụ, nếu độ trễ tối thiểu bởi một gói
trong một lớp là 1 ms và độ trễ tối đa là 10 ms, thì độ trễ jitter là 9 ms.
Khi các gói dữ liệu được truyền trong mạng, chúng có thể có các độ trễ khác
nhau tại các nốt khác nhau. Các gói có thể truyền đi theo các đường khác nhau tạo
nên jitter.
Băng tần: Tham số này là tốc độ kết nối dịch vụ trong mạng. Đủ băng tần là
điều thiết yếu để có được thông lượng yêu cầu cho mỗi ứng dụng.
Độ mất mát: Độ mất mát là phần trăm của các gói truyền có thể bị mất trong
quá trình truyền tải. Các gói trong kết nối có thể bị mất do các lý do khác nhau như
vi phạm tối đa trễ, tối đa trễ jitter, vượt quá bộ đệm, và mất mát dữ liệu. Mất mát dữ
liệu là không quan trọng trong mạng truyền quang và có thể không cần chú ý,
nhưng mất mát dữ liệu trong mạng không dây là quan trọng. Các ứng dụng khác
nhau có sự yêu cầu khác nhau về độ mất mát.
Các yêu cầu của các ứng dụng thời gian thực khác nhau với chất lượng dịch vụ
vượt quá tham số QoS có thể khác nhau.
Ngày nay, cùng với sự phát triển của công nghệ thông tin và mạng internet thì
các dịch vụ dữ liệu thời gian thực ngày càng đa dạng và thâm nhập vào mọi hệ
thống dữ liệu khác nhau. Tuy nhiên, cùng với sự phát triển đó là vấn đề an ninh
thông tin, đặc biệt là với các hệ thống sử dụng mạng IP ( là mạng sử dụng bộ giao
thức TCP/IP để truyền dữ liệu như LAN, WAN, Internet, ...) thì nguy cơ bị tấn công
lấy trộm hoặc phá hủy thông tin ngày càng lớn. Do đó, việc áp dụng các thuật toán
mật mã để mã hóa các thông tin truyền đi ngày càng phổ biến và cần thiết. Nhưng
do đặc thù của loại dữ liệu thời gian thực nên các thuật toán mật mã sử dụng để mã
hóa/ giải mã dữ liệu thời gian thực phải có tốc độ nhanh để đảm bảo độ trễ do thuật
toán mật mã là nhỏ nhất. Chính vì vậy, việc lựa chọn thuật toán mật mã và phương
pháp thực hiện thuật toán phù hợp để đảm bảo chất lượng yêu cầu của dịch vụ thời

gian thực là rất cần thiết.


3

1.2. Tổng quan về một số giao thức bảo mật dữ liệu thời gian thực
1.2.1. Giao thức bảo mật truyền thời gian thực SRTP
Giao thức bảo mật truyền thời gian thực SRTP (Secure Realtime Transport
Protocol)[58] cung cấp sự toàn vẹn, tính xác thực, và sự bảo mật với giao thức
truyền thời gian thực RTP (Realtime Transport Protocol), và giao thức điều khiển
vận chuyển thời gian thực RTCP (Realtime Transport Control Protocol). Giao thức
SRTP là một chuẩn giao thức IETF được sử dụng để đảm bảo tính bảo mật trong
quá trình truyền tải âm thanh, video và chia sẻ nội dung với các phương tiện truyền
thông khác. Giao thức SRTP được phát triển bởi nhóm nghiên cứu giao thức IP và
mật mã hóa của Cisco và Ericsson với tiêu chuẩn RFC 3711 được công bố bởi IETF
vào tháng 3 năm 2004 .
Giao thức SRTP không chỉ rõ các khóa được trao đổi giữa người gửi và người
nhận như thế nào. Những hệ thống quản lý khóa ở bên ngoài phạm vi của SRTP.
Với VoIP, giao thức báo hiệu có thể tiến hành trao đổi khóa trước khi giao thức bảo
mật truyền thời gian thực SRTP thực hiện. Để sử dụng giao thức báo hiệu cho sự
trao đổi khóa, cần bảo mật giao thức này bằng cách sử dụng giao thức TLS, IPSec
hay giao thức tương tự. Nếu không thực hiện như vậy, khóa mà giao thức SRTP sử
dụng có thể bị lộ và bị tấn công.
Với giải thuật mật mã hóa và giải mật mã luồng dữ liệu, giao thức SRTP sử
dụng thuật toán mã hóa AES là thuật toán mã hóa mặc định. SRTP sử dụng HMACSHA1 để chứng thực bản tin và đảm bảo sự toàn vẹn dữ liệu (trong tiêu chuẩn RFC
2104).
1.2.2. Giao thức bảo mật IPSec
Giao thức bảo mật IPSec là giao thức bảo mật lớp mạng. Giao thức này có thể
gửi và nhận những gói (TCP, UDP, ICMP, …) được bảo vệ bởi các biện pháp mật
mã hóa mà không sửa nội dung của gói . Giao thức này cung cấp khả năng mật mã

hóa giao thức lớp cao hơn và chứng thực mỗi gói IP. Giao thức IPSec bao gồm quá
trình trao đổi khóa, xử lý chính sách bảo mật, liên kết tính bảo mật và hai giao thức


4

AH (mào đầu chứng thực) và giao thức ESP (giao thức bảo vệ tải trọng) của gói IP.
Giao thức AH cung cấp khả năng chứng thực và toàn vẹn dữ liệu. AH thiết lập kết
nối IPSec yêu cầu hai pha: pha 1 (ISAKMP SA) và pha 2 (IPSec SA). Chúng ta có
thể nhìn thấy sự phức tạp của giao thức IPSec như hình sau đây:

IPSec Peer A (Initiator

role)
Domainwide Policy

Policy

Policy

Manager

TCP/IP

TCP/IP

Applicat

Applicat


ions

IKE

ISAKMP

ions

IKE

6

1

TCP/UDP

TCP/UDP

S
1

3
2
IP

4

S
5
AA


IPSec
E

7

IP
@
Network

S
S
A
S
S

S
1
1

AA

IP

IPSec
E

S
8


1

IP
9Network
@

Interface

Interface
Hình 1.1: Kiến trúc giao thức IPSec

Khi IPSec bắt đầu được thiết lập giữa A và B, những đầu cuối này thu nhận
chính sách dữ liệu từ bộ quản lý miền. Sau đó mỗi đầu cuối phân phối thiết lập bảo
mật cho bộ trao đổi khóa IKE.


5

Giao thức IPSec là giao thức phổ biến hiện nay để bảo mật dữ liệu truyền trên
mạng IP ( trong đó bao gồm cả dữ liệu thời gian thực), tuy nhiên quá trình xử lý
thuật toán phức tạp làm cho các thiết bị mã hóa sử dụng giao thức IPSec tiêu tốn
nhiều tài nguyên, hiệu năng không cao. Trên thế giới đã có nhiều công trình nghiên
cứu cải tiến nhằm cải thiện tốc độ, nâng cao hiệu năng xử lý của IPSec, điển hình
như công trình của các tác giả A. Salman, M. Rogawski and J. Kaps [2] (năm 2011
); L.Wu, Yun Niu,X. Zhang [32] (năm 2013) đã nghiên cứu cứng hóa giao thức
IPSec trên nền công nghệ FPGA và đạt được những thành công lớn về mặt tốc độ
và hiệu năng xử lý, trong đó về giải pháp mật mã các tác giả trên sử dụng thuật toán
mã hóa chuẩn AES cho ESP và giao thức thỏa thuận khóa Diffie-Hellman với tham
số RSA 1024, 2048 bít cho IKEv2. Về cứng hóa chuẩn mã hóa dữ liệu AES trên
FPGA nhằm nâng cao hiệu năng xử lý mật mã, điển hình có các nghiên cứu gần đây

như công trình của các tác giả Kaur A, Bhardwaj P and Naveen Kumar [31] (năm
2013), Ashwini R. Tonde and Akshay P. Dhande [3] (năm 2014), hay kết quả khảo
sát các công trình nghiên cứu theo hướng này của các tác giả Shylashree.N,
Nagarjun Bhat and V. Shridhar [46] (năm 2012) cho thấy các kết quả đạt được là rất
đáng kể nhờ việc tối ưu và sử dụng các kỹ thuật tiên tiến (pipeline) khi thực hiện
trên phần cứng. Tuy nhiên, các công trình nghiên cứu đề cập đến việc cài đặt trên
phần cứng có liên quan đến tối ưu hoặc cải tiến các thành phần mật mã còn rất hạn
chế.
1.3. Tổng quan về tình hình nghiên cứu thuật toán mật mã khối
1.3.1. Tổng quan tình hình nghiên cứu ngoài nước
Thuật toán mật mã khối là một phần quan trọng trong sản phẩm mật mã, việc
tích hợp thuật toán mật mã khối vào các sản phẩm mật mã mang lại nhiều lợi ích, đa
số các quốc gia và tổ chức quốc tế rất quan tâm đến việc nghiên cứu phát triển thuật
toán mật mã khối.
Tại Mỹ, Chính phủ đã mở hai chiến dịch tuyển chọn chuẩn thuật toán mật mã
khối trong hai giai đoạn kế tiếp nhau, giai đoạn đầu kết thúc vào năm 1976 với


×