Tải bản đầy đủ (.docx) (18 trang)

THIẾT kế MẠCH DAO ĐỘNG VCO DÙNG CÔNG NGHỆ CMOS 0.5um (có code và layout)

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (273.24 KB, 18 trang )

THIẾT KẾ MẠCH DAO ĐỘNG VCO
DÙNG CÔNG NGHỆ CMOS 0.5um

1


MỤC LỤC
DANH MỤC CÁC HÌNH VẼ..........................................................................................VII
DANH MỤC CÁC TỪ VIẾT TẮT................................................................................VIII
CHƯƠNG 1. CƠ SỞ LÝ LUẬN..........................................................................................1
1.1

TỔNG QUAN VỀ THIẾT KẾ VI MẠCH............................................................................1

1.1.1

Lịch sử.................................................................................................................1

1.1.2

Khái niệm............................................................................................................1

1.1.3

Phân loại.............................................................................................................1

1.2

TỔNG QUAN VỀ MẠCH DAO ĐỘNG VCO....................................................................3

CHƯƠNG 2. THIẾT KẾ MẠCH........................................................................................4


2.1

SƠ ĐỒ MẠCH...............................................................................................................4

2.2

NGUYÊN LÝ HOẠT ĐỘNG............................................................................................4

CHƯƠNG 3. KẾT QUẢ THỰC THI.................................................................................5
3.1

KẾT QUẢ MÔ PHỎNG...................................................................................................5

3.2

ĐÁNH GIÁ POWER.......................................................................................................6

3.3

ĐÁNH GIÁ DELAY.......................................................................................................6

3.4

SỬ DỤNG TÀI NGUYÊN................................................................................................7

3.5

THIẾT KẾ LAYOUT.......................................................................................................8

CHƯƠNG 4. KẾT LUẬN, ỨNG DỤNG VÀ HƯỚNG PHÁT TRIỂN.........................9

4.1

KẾT LUẬN...................................................................................................................9

4.2

ỨNG DỤNG..................................................................................................................9

4.3 HƯỚNG PHÁT TRIỂN.......................................................................................................9
TÀI LIỆU THAM KHẢO..................................................................................................12
PHỤ LỤC..........................................................................................................................13

2


DANH MỤC CÁC HÌNH
HÌNH 2 - 1: MẠCH MÔ PHỎNG..........................................................................4
Y

HÌNH 3 - 1: SÓNG MÔ PHỎNG...........................................................................5
HÌNH 3 - 2: ĐÁNH GIÁ POWER..........................................................................6
HÌNH 3 - 3: ĐÁNH GIÁ TÀI NGUYÊN SỬ DỤNG.............................................7
HÌNH 3 - 4: MẠCH LAYOUT................................................................................8
HÌNH 3 - 5: MÔ TẢ RTL MỘT CỔNG ĐẢO BA TRẠNG THÁI.....................8
HÌNH 3 - 6: MÔ TẢ RTL CỔNG ĐẢO BA TRẠNG THÁI KẾT NỐI VỚI
NHAU....................................................................................................................... 9

HÌNH 4 - 1: SƠ ĐỒ KHỐI VÒNG KHÓA PHA.................................................10

3



DANH MỤC CÁC TỪ VIẾT TẮT
CMOS

Complementary Metal-Oxide-Semiconductor

VCO

Voltage Control Oscillator

TTL

Transistor-Transistor Logic

IC

Integrated Circuit

SSI

Small-Scale Integration

MSI

Medium-Scale Integration

LSI

Large Scale Integrated


VLSI

Very Large Scale Integrated

ULSI

Ultra-Large-Scale Integration

ROM

Read-Only Memory

CPU

Central Processing Unit

GPU

Graphics Processing Unit

RAM

Random Access Memory

ASIC

Application-Specific Integrated Circuit

DSP


Digital Signal Processing

ADC

Analog-To-Digital Converter

DAC

Digital Analog Converter

FPGA

Field-Programmable Gate Array

RFID

Radio Frequency Identification

ALU

Arithmetic Logic Unit

4


Trang 1/15

CHƯƠNG 1.


CƠ SỞ LÝ LUẬN

1.1 Tổng quan về thiết kế vi mạch.
1.1.1 Lịch sử
 Công nghệ cmos được phát minh vào năm 1963 tại hãng Fairchild
Semiconductor. Vào năm 1968, mạch tích hợp cmos đầu tiên được được sản
xuất bởi một nhóm nghiên cứu tại RCA. Khởi đầu, cmos được xem như một
giải pháp thay thế TTL để có được các vi mạch tuy hoạt động chậm hơn TTL
nhưng tiêu hao năng lượng ít hơn TTL.
1.1.2 Khái niệm.
 Vi mạch còn được gọi là chip (theo thuật ngữ tiếng anh) là các mạch điện tử
chứa linh kiện bán dẫn và linh kiện điện tử thụ động. Các phần tử được kết
nối với nhau nhờ các vật liệu kim loại được phủ trên bề mặt của chip. Vi
mạch có kích thước là micromet (hoặc nhỏ hơn) chế tạo bởi công nghệ
silicon cho lĩnh vực điện tử học.
1.1.3 Phân loại.
 Theo tín hiệu được xử lý.
 IC digtal xử lý hoặc lưu trữ các tín hiệu digtal.
 IC analog hay IC tuyến tính xử lý tín hiệu analog.
 IC hỗn hợp, có analog và digtal.
 Theo mức độ tích hợp.
 IC. Còn chia ra SSI và MSI.
 LSI (Large Scale Integrated).
 VLSI (Very Large Scale Integrated) Các CPU, GPU, ROM, RAM,
PLA, chipset, microcontroller,...
 ULSI (ultra-large-scale integration) dự đặt cho mạch trên 1 triệu
transistor.
 Theo công nghệ.
Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um



Trang 2/15

 Monoithic: tất cả các phần tử đặt trên miếng nền vật liệu bán dẫn đơn
tinh thể. Các linh kiện điện tử được tạo bằng pha tạp chất và theo thứ
tự lớp thực hiện lai ghép điện trở, đường mạch dẫn, tụ điện, lớp cách
điện, cực gate của MOSFET.
 Mạch màng mỏng hay mạch phim: là những phần tử được tạo bằng
lắng đọng hơi trên nền thủy tinh. Nó thường là các mạng điện trở.
Chúng có thể được chế tạo bằng cách cân bằng điện tử với độ chính
xác cao và được phủ nhúng bảo vệ. Trong nhóm này bao gồm các
mạch transistor màng mỏng.
 Lai mạch màng dày kết hợp một số chip, vết mạch in đường dây dẫn,
linh kiện điện tử thụ động. Nền thường là gốm và thường được nhúng
tráng.
 Theo công dụng.


CPU, vi xử lý trong máy tính.



Memory, bộ nhớ lưu trữ dữ liệu digital



Thu nhỏ chip trong công nghệ RFID để giám sát (Identification)
không tiếp xúc của các đối tượng hay các sinh vật sống




IC logic tiêu chuẩn thuộc họ logic khác nhau



ASIC dành cho phát triển ứng dụng cụ thể, ví dụ cho điều khiển lò
nướng bánh, xe hơi, máy giặt,...



ASSP là sản phẩm tiêu chuẩn cho ứng dụng cụ thể, tương tự
như ASIC, nhưng có sẵn từ các nhà sản xuất và không được xây dựng
theo yêu cầu của khách hàng



IC cảm biến quá trình vật lý, hoá, sinh hoá,... ví dụ gia tốc, ánh sáng,
từ trường, chất độc,...



DSP (Digital signal processing) xử lý tín hiệu digital.



ADC và DAC, chuyển đổi analog digital

Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um



Trang 3/15



FPGA (Field-programmable gate array) được cấu hình bởi các IC
digital của khách hàng, trong đó bao gồm một số lượng lớn các đơn vị
chức năng kết nối được (interconnectable)



Vi điều khiển (microcontroller) chứa tất cả các bộ phận của một máy
tính nhỏ (bộ nhớ chương trình, ALU, bộ nhớ và thanh ghi)

 IC công suất có thể xử lý các dòng hay điện áp lớn (ví dụ khuếch đại
công suất lớn, kiểm soát mạng điện lưới)


System-on-a-chip (SoC) là hệ thống trong một chip.

1.2 Tổng quan về mạch dao động VCO.
 VCO là mạch dao động điện tử có tần số dao động được điều khiển bởi điện
áp. Điện áp đầu vào xác định tần số dao động tức thời. Yêu cầu chung của
mạch dao động VCO là quan hệ giữa điện áp điều khiển và tần số ra phải
tuyến tính. Ngoài ra mạch còn có độ ổn định tần số cao, dải biến đổi của tần
số theo điện áp vào rộng, đơn giản, dễ điều chỉnh và thuận lợi cho việc tổ
hợp thành vi mạch.
 Về nguyên tắc có thể dùng mọi mạch dao động là tần số dao động có thể biến
biến thiên trong phạm vi + 10% ÷ + 50% xung quanh tần số dao động tự do.

Tuy nhiên các bộ dao động tạo xung chữ nhật được sử dụng rộng rãi vì loại
này có thể làm việc trong phạm vi tần số khá rộng (từ 1MHz đến khoảng
100MHz). Trong phạm vi từ 1MHz đến 50MHz thường dùng các mạch dao
động đa hài.

CHƯƠNG 2.
1.3 Sơ đồ mạch.

Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um

THIẾT KẾ MẠCH


Trang 4/15

Hình 2 - 1: Mạch mô phỏng
1.4 Nguyên lý hoạt động.
 Khi ngõ vào Vcontrol bằng một lúc đó N1, N2, N3, N4 xuống đất và P1, P2,
P3, P4 dẫn. Lúc này ngõ ra đèn sẽ nhấp nháy.
 Khi ngõ vào Vcontrol bằng không lúc đó N1, N2, N3, N4 không dẫn và P1,
P2, P3, P4 sẽ ở mức Hi-Z. Lúc đó ngõ ra bằng không đèn tắt.

CHƯƠNG 3.
1.5 Kết quả mô phỏng.

Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um

KẾT QUẢ THỰC THI



Trang 5/15

Hình 3 - 1: Sóng mô phỏng
 Vcontrol ở mức 0 mạch sẽ không hoạt động.
 Vcontrol ở mức 1 mạch sẽ dao động.

1.6 Đánh giá power.

Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um


Trang 6/15

Hình 3 - 2: Đánh giá power
 Tổng công suất tiêu tán: 110.17 mW
 Công suất tiêu hao tĩnh: 79.92 mW
 Công suất tiêu hao ngõ vào và ngõ ra: 30.25 mW

1.7 Sử dụng tài nguyên.

Hình 3 - 3: Đánh giá tài nguyên sử dụng
Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um


Trang 7/15


 Tổng số các phần tử logic: 0 / 33.216 (0 % )
 Tổng số chức năng tố hợp: 0 / 33.216 ( 0 % )
 Thanh ghi dành riêng logic: 0 / 33.216 ( 0 % )
 Tổng các thanh ghi: 0
 Tổng số chân: 3 / 475 ( < 1 % )
 Tổng số chân ảo: 0
 Tổng bộ nhớ bits: 0 / 483.840 ( 0 % )
 Thành phần nhân 9 – bits được gán: 0 / 70 ( 0 % )

1.8 Thiết kế layout.

Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um


Trang 8/15

Hình 3 - 4: Mạch Layout

1.9 Mô tả RTL một cổng đảo ba trạng thái.

Hình 3 - 5: Mô tả RTL một cổng đảo ba trạng thái

1.10

Mô tả RTL cổng đảo ba trạng thái kết nối với nhau.

Hình 3 - 6: Mô tả RTL cổng đảo ba trạng thái kết nối với nhau

Thiết Kế Mạch Dao Động VCO

dùng công nghệ CMOS 0.5um


Trang 9/15

CHƯƠNG 4.

KẾT LUẬN, ỨNG DỤNG VÀ HƯỚNG PHÁT TRIỂN

1.11 Kết luận
 Mạch mô phỏng hoạt động đúng như đề tài yêu cầu. Thực hiện trên kit DE2
hoạt động đúng với khi mô phỏng mạch.
1.12 Ứng dụng
 Mạch dao động VCO được sử dụng trong thực tế rất nhiều như: thiết bị gây
nhiễu điện tử, sản xuất âm nhạc điện tử, vòng khóa pha, thiết bị truyền thông.
4.3 Hướng phát triển
 Mạch dao động VCO phát triển lên ứng dụng vào mạch vòng khóa pha. Nó
là mạch điện tử được sử dụng để khóa tần sô ngõ ra của mạch dao động điều
khiển điện áp với tần số ngõ vào liên tục so sánh pha ngõ vào với ngõ ra tần
số của mạch dao động VCO.

Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um


Trang 10/15

 Sơ đồ khối vòng khóa pha.

Hình 4 - 1: sơ đồ khối vòng khóa pha



Tách sóng pha: so sánh pha giữa tín hiệu vào và tín hiệu ra của VCO để
tạo ra tín hiệu sai lệch Vd(t).

 Lọc thông thấp: lọc gợn của điện áp Vd(t) để trở thành điện áp biến đổi
chậm và đưa vào mạch khuếch đại một chiều.
 Khuếch đại một chiều: khuếch đại điện áp một chiều Vd(t) để đưa vào
điều khiển tần số của VCO.
 VCO: bộ dao động mà tần số ra được điều khiển bằng điện áp đưa vào.

Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um


Trang 11/15

 Nguyên lý hoạt động của mạch vòng khóa pha.
 Vòng khóa pha hoạt động theo nguyên tắc vòng điều khiển mà đại lượng
vào và ra là tần số và chúng được so sánh với nhau về pha. Vòng điều
khiển pha có nhiệm vụ phát hiện và điều chỉnh những sai số nhỏ về tần số
giữa tín hiệu vào và ra. Nghĩa là vòng khóa pha làm cho tần số f 0 của tín
hiệu VCO bám theo tần số fi của tín hiệu vào.
 Khi không có tín hiệu vào vi thì điện áp ngõ ra Vout = 0. bộ dao động
VCO hoạt động ở tần số Fn. ( tần số này được cài đặt bằng điện trở tụ
điện ngoài ).
 Khi có tín hiệu vào vi, bộ tách sóng pha so sánh pha và tần số của tín hiệu
vào với tín hiệu VCO. Ngõ ra bộ tách sóng pha là điện áp sai lệch Vd(t),
chỉ sự sai lệch về tần số và pha của tín hiệu. Điện áp Vd(t) được lọc lấy
thành phần biến đổi chầm nhờ LPF, khuếch đại đưa đến ngõ vào VCO,

điều khiển tần số VCO bám theo tần số tín hiệu vào. Đến khi tần số fo
của VCO gần bằng tần số fi, hệ thống hồi tiếp làm cho VCO đồng bộ
( khóa ) theo tần số tín hiệu vào. Ở trang thái (lock) tần số fo của VCO
đồng nhất ( bằng ) tần số vào fi, ngoài trừ sự sai biệt pha.

Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um


Trang 12/15

TÀI LIỆU THAM KHẢO
Tiếng Việt:
[1]

Thiết kế vi mạch CMOS VLSI, Tống Văn On.

[2]

Bài giảng thiết kế hệ thống VLSI, Phạm Văn Sự, Đặng Hoài Bắc, Mai Linh

Hà Nội : Học viện Công nghệ Bưu chính Viễn thông, 2010.
Tiếng Anh:
[3]

Horowitz, Paul; Hill, Winfield (1989). The Art of Electronics (2nd ed.).

Cambridge University Press.
[4]


moodle.insa-toulouse.fr

[5]

tailieu.vn

Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um


Trang 13/15

PHỤ LỤC
 Code cổng ba trạng thái đảo
library ieee;
use ieee.std_logic_1164.all;
entity trangthai is
port(
a: in std_logic;
en: in std_logic;
b: out std_logic
);
end trangthai;
architecture tri_state of trangthai is
begin
process(a,en)
begin
if (en='0') then b <= 'Z';
else b <= not a;
end if;

end process;
end tri_state;

Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um


Trang 14/15

 Code ba trạng thái nối với nhau
library ieee;
use ieee.std_logic_1164.all;
entity vco is
port (
x,enable: in std_logic;
y: out std_logic
);
end vco;
architecture vco of vco is
component batrangthai
port (
a,en: in std_logic;
b: out std_logic
);
end component;
signal c: std_logic_vector(1 downto 0);
begin
batrangthai0: batrangthai port map (x,enable,c(0));
batrangthai1: batrangthai port map (c(0),enable,c(1));
batrangthai2: batrangthai port map (c(1),enable,y);

end vco;

Thiết Kế Mạch Dao Động VCO
dùng công nghệ CMOS 0.5um



×