Tải bản đầy đủ (.docx) (51 trang)

ĐỒ ÁN THIẾT KẾ MẠCH GIAO TIẾP CHẾ TẠO XE DÒ ĐƯỜNG

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (5.55 MB, 51 trang )

LỜI NÓI ĐẦU
Hiện nay cuộc sống của con người ngày càng phát triển. Tất cả các lĩnh vực
đều đi lên một hướng rất mạnh mẽ. Đặc biệt là lĩnh vực điện tử, đây là lĩnh vực tiềm
năng của đất nước ta. Nói về điện tử thì có rất nhiều sản phẩm khác nhau, mỗi sản
phẩm có mỗi công dụng cũng như chức năng khác nhau. Vì thế nhiều thiết bị máy
móc đã ra đời và thay thế con người làm việc, sản xuất... Bắt kịp xu hướng này nhiều
công ty, xí nghiệp, nhà máy… đều làm theo xu hướng tự động hóa trong quá trình làm
việc, sản xuất để tăng năng suất, cũng như giảm bớt chi phí trong quá trình sản xuất.
Những thiết bị máy móc làm việc thay thế con người nói trên chính là những chú
ROBOT rất thông minh, chúng hoạt động và làm việc dưới sự thiết lập sẵn của con
người.
Là một sinh viên ngành cơ điện tử, thấy những chú ROBOT thông minh như
thế thì em cũng rất tò mò. Dựa vào các kiến thức đã học nên em quyết định làm một
chú ROBOT dò đường để xem chúng hoạt động như thế nào và làm thế nào để điều
khiển được chúng.

Trang 1


MỤC LỤC
LỜI NÓI ĐẦU............................................................................................................. 1
MỤC LỤC.................................................................................................................... 2
DANH MỤC CÁC BẢNG............................................................................................4
CHƯƠNG 1: TỔNG QUAN.........................................................................................5
CHƯƠNG 2: PHƯƠNG PHÁP VÀ NỘI DUNG NGHIÊN CỨU................................6
2.1. Phương pháp nghiên cứu :..................................................................................6
2.2. Yêu cầu của hệ thống :........................................................................................6
2.2.1. Yêu cầu phần cứng :.....................................................................................6
2.2.2.Yêu cầu phần mềm :......................................................................................6
2.3.Phương án thiết kế :.............................................................................................6
2.3.1.phương pháp :................................................................................................6


2.3.2. Ưu điểm:.......................................................................................................7
2.3.3. Nhược điểm:.................................................................................................7
2.3.4. Kết luận:.......................................................................................................7
2.4. Thiết kế, chế tạo phần cơ khí :.........................................................................7
2.5. Thiết kế, chế tạo phần điều khiển:.......................................................................7
2.5.1. Cơ sở lý thuyết :...........................................................................................7
2.5.1.1. AVR :.........................................................................................................7
2.5.1.2. LM7805 :.................................................................................................15
2.5.1.3. LM324 :...................................................................................................16
2.5.1.4. IRF540 :...................................................................................................17
2.5.1.5. Tụ hóa :....................................................................................................18
2.5.1.6. Tụ pi :......................................................................................................18
2.5.1.7. Điện trở :..................................................................................................18
2.5.1.8. Biến trở :..................................................................................................19
2.5.1.9. Nút nhấn :................................................................................................19
2.5.1.10. Thanh cắm đực :...................................................................................20
2.5.1.11. Thạch anh :............................................................................................20
2.5.1.12. LED.......................................................................................................21
2.5.1.13. Quang trở :.............................................................................................21
2.5.1.14. LED 7 đoạn (anot chung) :....................................................................21
Trang 2


2.5.1.15. Transistor A1015 :.................................................................................22
2.5.2. Thiết kế :........................................................................................................23
2.5.2.1 Mạch điều khiển :.....................................................................................23
2.5.2.2 Chương trình điều khiển :.........................................................................30
CHƯƠNG 3: THỬ NGHIỆM VÀ PHÂN TÍCH KẾT QUẢ.......................................42
3.1.


Chuẩn bị.........................................................................................................42

3.2.

Thi công và lắp ráp........................................................................................42

3.2.1.

Vẽ mạch in..............................................................................................42

3.2.2 In mạch lên mạch đồng................................................................................42
3.3 Sản phẩm thực tế:..............................................................................................42
3.3.1 Hình ảnh các mạch:.....................................................................................42
3.3.2 Hệ thống mô hình hoạt động :.....................................................................47
3.4 kiểm tra nguồn, mạch vi điều khiển và mạch công suất :...................................48
3.5 Chạy thử nghiệm kết quả:.................................................................................49
CHƯƠNG 4: KẾT LUẬN VÀ ĐỀ XUẤT..................................................................50
4.1.Kết luận.............................................................................................................50
4.1.1 Kết quả đạt được..........................................................................................50
4.2.Đề xuất............................................................................................................... 50
4.2.1 Đề xuất phần cứng.......................................................................................50
4.2.2 Đề xuất phần mềm.......................................................................................50
Tài liệu tham khảo qua internet...................................................................................51

Trang 3


DANH MỤC CÁC BẢNG
Bảng 1: Phương án thiết kế.....................................................................................6,7
Bảng 2: kiểm tra nguồn, mạch vi điều khiển và mạch công suất...........................48,49


Trang 4


CHƯƠNG 1: TỔNG QUAN
Đề tài của em là làm ROBOT dò đường và thiết kế mạch điều khiển 6 LED 7
đoạn (ANOT chung)
Các thiết bị, máy móc có trong thực tế liên quan đến đề tài của em như là Robot dò
phá bom, Robot cứu trợ người, tài sản trong môi trường độc hại. Bảng hiển thị số thứ
tự bằng LED 7 đoạn, hoặc đồng hồ thời gian thực hiển thị trên LED 7 đoạn…

Trang 5


CHƯƠNG 2: PHƯƠNG PHÁP VÀ NỘI DUNG NGHIÊN CỨU
2.1. Phương pháp nghiên cứu :
Em nghiên cứu làm xe dò đường bằng cách điều chế độ rộng xung điều chỉnh tốc độ
động cơ trên họ AVR ( em đã được học ở môn Kỹ Thuật Ứng Dụng Vi Điều Khiển)
Và nghiên cứu lập trình LED 7 đoạn.

2.2. Yêu cầu của hệ thống :
2.2.1. Yêu cầu phần cứng :
Về phần cứng em sẽ chuẩn bị nguồn từ bin điện thoại và được ổn áp qua 1
mạch nguồn cho đầu ra 9v 1A (cấp cho động cơ), 12v 1A (cấp cho vi điều khiển), một
mạch vi điều khiển xử dụng vi xử lý atmega8 , một mạch công suất xử dụng 2 mosfet
IRF540 ( hoặc H1061) và được cách li quang bởi OPTO, một mạch mắt dò đường
dùng quang trở, một mạch so sánh (dùng IC LM324), 1 mạch LED 7 đoạn (anot
chung) và một mạch nộp.

2.2.2.Yêu cầu phần mềm :

Về phần mềm :
Đối với robot dò đường : em sẽ viết chương trình điều chế độ rộng xung (PWM) để
điều khiển tốc độ động cơ ( viết trên phần mềm codevision).
Đối với LED 7 đoạn : em sẽ viết chương trình đếm số từ 0-999999

2.3.Phương án thiết kế :
2.3.1.phương pháp :
CÁC
BƯỚC

PHƯƠNG ÁN THỰC HIỆN

B1
B2
B3
B4

Mua linh kiện
Giấy in
Mạch in + thuốc
Cắt mạch bằng tấm mạch in

B1
B2
B3
B4
B5
B6

Chà mạch in

Cố định tờ giấy với mạch in
Cắm bàn là, để số 3/5 → Max
Ủi mạch in khoảng 3 → 7 phút
Ngâm nước để lớp giấy bong ra
Dùng tay chà nhẹ lớp giấy

B7

Dùng bút tô lại những chỗ bị đứt
mạch in
Ngâm hóa chất
Sau khi ăn mòn hết, rửa lại với nước

B8
B9

CHÚ Ý
Giấy lá, giấy in mạch
Gọt via mạch đồng

Trang 6

Miếng chà xoong, giấy nhám loại mịn
Gấp các mép giấy quanh mạch đồng
Chờ bàn là nóng khoảng 3-4 phút
Kiểm tra độ dính của mạch in
Cẩn thận, lớp sơn có thể bị bong ra
Đặc biệt chỗ các đường mạch in gần
nhau
Cẩn thận khỏi bị tô dính các đường

khác
Khoảng 1→2 phút kiểm tra một lần
Rửa kỹ


B13
B14

sạch
Dùng xăng lau lớp sơn
Quét lớp nhựa thông để bảo vệ mạch
Dùng bàn là hơ lại mạch hoặc phơi
mạch
Khoan mạch
Kiểm tra mạch có bị chập không

B15

Lắp và hàn linh kiện

B10
B11
B12

Lấy nhựa thông hòa với xăng
Để lớp nhựa thông khô
Cẩn thận khoan nhầm lỗ
Đo những chân không nối, những
chân
gần nhau.

Vcc_in & GND; Vcc_out & GND;
Thấp hàn trước, cao hàn sau. Chưa lắp
VĐK

2.3.2. Ưu điểm:
Thực hiện các bước làm như trên sẽ giúp chúng em hiểu rõ cách thức để làm ra một
mạch điện tử, và hiểu được công dụng cũng như nguyên lí hoạt động của chúng.

2.3.3. Nhược điểm:
Nhược điểm ở đây là: đây là các bước làm mạch rất thủ công, nó sẽ tốn thời gian và
tiền bạc .

2.3.4. Kết luận:
Đây là các bước để làm mạch điện hoàn chỉnh mà em đã được học qua các môn
: kỹ thuật điện tử, tin học ứng trong cơ điện tử, lập trình hệ thống nhúng, kỹ thuật ứng
dụng vi điều khiển, lý thuyết điều khiển và đồ án.

2.4. Thiết kế, chế tạo phần cơ khí :
Phần cơ khí của robot dò đường khá đơn giản : chọn 1 miếng mica làm khung
xe, khoan lỗ bắt vít 2 động cơ và bánh tự lượng vào sao cho cân đối và hợp lý. Các
mạch điện được đặt lên xe sao cho hợp lí và cũng cố định bằng vít.

2.5. Thiết kế, chế tạo phần điều khiển:
2.5.1. Cơ sở lý thuyết :
2.5.1.1. AVR :
AVR là một họ vi điều khiển do hãng Atmel sản xuất.
AVR là chíp vi điều khiển 8 bits với cấu trúc tập lệnh đơn giản hóa RISC
So với các loại chíp điều khiển 8 bits khác, AVR có nhiều đặc tính hơn hẳn, hơn cả
trong tính ứng dụng và đặc biệt là về chức năng.
Hầu hết các chíp AVR có những tính năng sau;

- Có thể sử dụng xung clock lên đến 16MHZ, hoặc sử dụng xung clock nội lên
đến 8MHZ( sai số 3%).
- Bộ nhớ chương trình flash có thể lập trình lại rất nhiều lần và bộ nhớ lớn, có
SRAM (ram tĩnh) lớn, và đặc biệt có bộ nhớ lưu trữ được EEPROM.
- Ngõ ra vào (I/O PORT) 2 hướng.
- 8 bits, 16 bits timer/counter tích hợp PWM.
Trang 7


-

Các bộ chuyển đổi Analog-digital phân giải 10 bits, nhiều kênh.
Chức năng Anolog comparator.
Giao diện nối tiếp USART( tương thích chuẩn nối tiếp RS-232).

Một số chip AVR thông dụng;
+AT90S1200
+AT90S2313
+Atmega8
+Atmega16
+Atmega32


 Cụ thể em sẽ trình bày về chip Atemeg 8 mà em sử dụng trên
mạch vi điều khiển

Đây là hình ảnh thật của chip atmega8 gồm 28 chân

Trang 8



Và đây là hình ảnh chỉ rõ tính năng của từng chân
 Mô tả chân:
 VCC: Điện áp cung cấp.
 GND: Nối đất.
 PortB, PortC, PortD: Có thể được cấu hình như các cổng cổng I/O 8-bit hai
hướng thông thường hoặc cấu hình để sử dụng các chức năng đặc biệt khác .
Các chân của Port có thể được nối với các điện trở kéo lên bên trong (lựa chọn
cho từng bit).
 Reset: Là đầu vào. Khi đưa một mức điện áp thấp vào chân này có độ dài lớn
hơn một xung sẽ reset hệ thống.
 XTAL1, XTAL2: Các đầu vào dao động.
 AVCC: Điện áp cấp cho các bộ ADC. Thường được nối với VCC qua một bộ
lọc thông thấp.
 AREF: Điện áp tham chiếu cho các bộ biến đổi ADC.
 Vi điều khiển Atmega8:
 Là vi điều khiển 8-bit CMOS công suất tiêu thụ thấp dựa trên cấu trúc RISC
AVR. Bằng cách thực hiện các lệnh trong một chu kỳ đồng hồ. Atmega8 đạt
được tốc độ xấp xỉ 1MIPS trên 1MHz cho phép người thiết kế tối ưu công suất
tiêu thụ với tốc độ sử lý
 Các tính năng:
 Cấu trúc RISC
 Hỗ trợ 130 lệnh
 32x8 thanh ghi dùng chung
 Tốc độ 16 MIPS với thạch anh 16 MHz
 Bộ nhớ
 8K Byte bộ nhớ Flash
Trang 9















 512 Byte EEPROM
 1K Byte SRAM
 Hỗ trợ lập trình ngay trên mạch với chương trình mồi
 Có các bít khóa bảo mật
Giao diện JTAG
 Khả năng quét biên theo chuẩn JTAG
 Hỗ trợ gỡ lỗi trên chip
 Lập trình bộ nhớ Flash, EEPROM, các bít khóa qua giao diện JTAG
Các tính năng ngoại vi
 Hai bộ đếm/định thời 8 bit
 Một bộ đếm / định thời 16 bit
 Bộ đếm thời gian thực với bộ giao động riêng
 Bốn kênh PWM
 6 kênh ADC 10 bit
 Giao tiếp I2C, USART, SPI.
 Bộ so sánh Analog trên chíp
Các tính năng khác
 Có bộ giao động RC bên trong

 Ngắt trong và ngắt ngoài
 Sáu chế độ ngủ
Đóng gói I/O
 23 đường I/O lập trình được
 28 chân PDIP
Điện áp hoạt động
 2.7 – 5.5 V với Atmega8L
 4.5 – 5.5 V với Atmega8
Tốc độ
 0 - 8 MHz với Atmega8L
 0 - 16 MHz với Atmega8

Trang 10


Đây là sơ đồ khối atemega 8

Trang 11


Đây là lõi CPU AVR
 Các cổng vào ra:
 Các cổng vào ra và các thanh ghi dùng để điều khiển hướng của cổng được lập
trình giá trị tới từng bit. Bộ đệm cổng có thể đủ mạnh để điều khiển hiển thị
trực tiếp LED sáng. Tất cả các chân đều có các điện trở kéo lên bên trong.

Sơ đồ nguyên lý tương đương cổng vào ra.
Trang 12











 Mỗi cổng vào ra có thanh ghi dữ liệu PORTx (PORTB, PORTC, PORTD),
thanh ghi hướng dữ liệu DDRx và thanh ghi dữ liệu vào PINx. Khi bit thanh
ghi hướng dữ liệu bằng “1” thì chân đó là chân ra, bằng “0” là chân vào.
Các ngắt:
 Khi có ngắt xảy ra, vi điều khiển sẽ tự động lưu các tham số của chương trình
và nhảy đến chương trình con thực hiện ngắt.
Giao tiếp SPI ( Serial Peripheral Interface)
 Giao tiếp ngoại vi nối tiếp SPI cho phép trao đổi dữ liệu đồng bộ tốc độ cao
giữa Atmega8 và các thiết bị ngoại vi hoặc giữa các thiết bị AVR với nhau.
 Giao diện ngoại vi nối tiếp (SPI) bao gồm các đặc điểm dưới đây
 Truyền dữ liệu đồng bộ 3 dây (Three wire)
 Chế độ hoạt động Master / Slave
 Chuyển dữ liệu MSB First hoặc LSB First
 7 tốc độ bit có thể lập trình
 Cờ ngắt cuối phiên truyền
 Cờ ngăn xung đột ghi
 Đánh thức khỏi chế độ chờ Idle
 Chế độ SPI Master tốc độ kép (CK/2 )
Các bộ nhớ:
 Bộ nhớ Flash: 8K bytes được quản lý như 4K x 4.
 Bộ nhớ Eeprom: 512K bytes. Bộ nhớ Eeprom không bị mất dữ liệu khi mất
nguồn, có thể ghi đọc trong lúc chạy chương trình, dùng để lưu các tham số.

Nguồn dao động:

Bên trong AVR đã có sẵn một bộ giao động có thể lựa chọn với tần số từ 1Mhz – 8
Mhz (mặc định 1Mhz)
 Giao tiếp SPI
 Thanh ghi điều khiển SPCR

 Bit 7 – SPIE: Cho phép ngắt SPI
 Bit 6 – SPE: Cho phép SPI
 Bit 5 – DORD: Thứ tự dữ liệu. DORD = 1 , LSB first, DORD = 0, MSB
first.
 Bit 4 – MSTR: Chọn Master/Slave, 1 Master, 0 Slave.
 Bit 3 – CPOL: Chọn cực Clock, bằng 1 SCK ở mức cao khi rảnh, bằng 0
SCK ở mức thấp khi rảnh.
 Bit 2 – CPHA: Pha Clock
 Bits 1, 0 – SPR1, SPR0: chọn tốc độ đồng hồ.
 Thanh ghi trạng thái SPSR

Trang 13


 Bit 7 – SPIF: Cờ ngắt SPI
 Bit 6 – WCOL: Cờ xung đột ghi
 Bit 5..1 – Res: Bit dự trữ
 Bit 0 – SPI2X: Bit nhân đôi tốc độ.
 Thanh ghi dữ liệu SPDR

 Giao tiếp USART
 USART: Universal Synchronous and Asynchronous serial Receiver and
Transmitter - Thu phát nối tiếp đồng bộ và không đồng bộ chung là giao tiếp

nối tiếp có độ linh hoạt cao. Giao tiếp tại các chân TXD, RXD, XCK của vi
điều khiển. Các tính năng chính:
 Hoạt động song công.
 Hai chế độ đồng bộ và không đồng bộ.
 Hoạt động đồng bộ xung Master hay Slave.
 Máy phát tốc độ Baud độ chính xác cao.
 Hỗ trợ truyền các khung nối tiếp với 5 ,6 ,7, 8 hoặc 9 bit dữ liệu và 1 hoặc 2
bit stop.
 Tạo toàn vẹn dữ liệu chẵn, lẻ và hỗ trợ kiểm tra tính chẵn lẻ bằng phần cứng
 Dò tràn dữ liệu.
 Dò lỗi khung truyền.
 Bộ lọc nhiễu bao gồm dò tìm bit khởi động sai và bộ lọc số thông thấp.
 3 ngắt riêng biệt: Phát xong, trống thanh ghi dữ liệu TX , thu xong.
 Chế độ truyền thông nhiều bộ sử lý.
 Chế độ truyền thông không đồng bộ tốc độ kép.

 Sơ đồ khối:
Trang 14


Sơ đồ khối bộ USART

2.5.1.2. LM7805 :
Đây là ic ổn
áp out 5V 1A

 Công
dụng
ổn áp out 5V
 Mô tả

chân:
Chân 1: điện
áp chuẩn đầu
vào từ 7v-12v
Chân 2: nối
mass
Chân 3: điện
áp ra dao động
từ 4.8v-5.2v
+ Nhiệt độ hoạt động của LM7805 là từ 0-125 độ C
+ Nhiệt độ lưu trữ phạm vi từ -50-150 độ C

Trang 15


2.5.1.3. LM324 :

Đây là IC so sánh LM324

 Công dụng
So sánh điện áp giữa hai đầu vào INPUT+ và INPUT- . Nếu INPUT+ > INPUTthì đầu ra (OUTPUT) bằng 1 (5V) ngược lại INPUT+ < INPUT-thì đầu ra
(OUTPUT) bằng 0 (0v).
Cụ thể ở Robot dò đường của em : tín hiệu so sánh OUTPUT từ IC LM324 được
đưa vào vi điều khiển ATMEGA8 từ đó ta biết xe đang ở vị trí nào và điều chỉnh
tốc độ động cơ cho phù hợp

2.5.1.4. IRF540 :

Trang 16



Đây là mosfet IRF540
Công dụng của IRF540 nâng dòng từ mạch vi điều khiển sang mạch công
suất. IRF540 cũng như 1 khóa điện tử vậy. khi có 1 dòng điện nhỏ chạy vào chân G
(cổng vào) ngay lập tức sẽ có 1 nguồn điện lớn hơn (ta cấp) sẽ chạy từ chân S
( nguồn )xuống chân D (cổng ra) và cấp đến động cơ.

2.5.1.5. Tụ hóa :

 Công dụng
Trang 17


Lọc điện áp xoay chiều sau khi đã được chỉnh lưu thành điện áp bằng phẳng.

2.5.1.6. Tụ pi :

 Công dụng
Tụ pi có công dụng chống nhiễu

2.5.1.7. Điện trở :

 Công dụng
Khống chế dòng điện qua tải cho phù hợp.
Mắc điện trở thành cầu phân áp để có một điện áp theo ý muốn từ một điện áp cho
trước.

2.5.1.8. Biến trở :

Trang 18



 Công dụng
Biến trở cũng giống nhự điện trở là hạn dòng qua tải cho phù hợp
Nhưng khác ở đây là em có thể điều chỉnh được giá trị trở kháng từ đó cho ra các
giá trị hạn dòng khác nhau

2.5.1.9. Nút nhấn :

 Công dụng
khi nhấn nút cho phép tín hiệu vào , ra hoặc dòng điện đi qua

2.5.1.10. Thanh cắm đực :

 Công dụng
Trang 19


Cho phép kết nối các linh kiện với nhau bằng cách cắm dây

2.5.1.11. Thạch anh :

 Công dụng
Tạo xung clock giao động và để đếm.

2.5.1.12. LED

 Công dụng
Sáng và báo nguồn.


2.5.1.13. Quang trở :

 Công dụng
Quang trở chính là điện trở mà nó có thể tự động thay đổi giá trị tùy thuộc vào cường
độ sáng của môi trường

2.5.1.14. LED 7 đoạn (anot chung) :

Trang 20


 Công dụng
Hiển thị các số từ 0-9
2.5.1.15. Transistor A1015 :

 Công dụng
Transistor A1015 là Transistor công suất nhỏ có cộng dụng nâng dòng từ vi
điều khiển để kích cho LED 7 đoạn (anot chung) sáng.

Trang 21


2.5.2. Thiết kế :
2.5.2.1 Mạch điều khiển :
a) Mạch vi điều khiển và mạch công suất (sử dụng atmega 8):

Trang 22


Đây là sơ đồ nguyên lí mạch vi điều khiển + Công Suất


Trang 23


đây là sơ đồ mạch in của mạch vi điều khiển + mạch công suất

 Linh kiên gồm: 1 lm7805, 3 tụ hóa, 2 tụ pi 104, 2 tụ pi 18, 1 led , 1 nút nhấn,
3 diod 4007, jump cắm, 1 atmega8, 5 trở 1k, 3 trở 10k, 1 thạch anh 8 MHZ, 2
opto, 2 mosfet irf 540.
 Công dụng linh kiện : công dụng của các linh kiện này em đã trình bày ở
trên ( từ trang 7 đến trang 22)

 Nguyên lý hoạt động của vi điều khiển ATMEGA8 :
Khi cấp nguồn điện ( 12v) ngay lập tức tụ hóa 1000uf 25v được nộp đầy điện
và xem như là một bể chứa điện với nhiệm vụ lọc cho điện áp bằng phẳng và
chống nhiễu. Tiếp đến điện nộp vào tụ pi 104 với nhiệm vụ chống nhiễu. Sau đó
điện áp dương được đưa vào chân 1 LM7805, điện áp âm được đưa vào chân 2
lm7805, và nhiệm vụ của LM7805 là ổn áp ra nguồn 5v. Tiếp theo điện áp 5v lấp
đầy tụ hóa 1000uf 25v và tụ pi 104 với nhiệm vụ như trên. Đồng thời lúc này điện
áp +5v được cấp cho các chân : VCC, AVCC của atmega8, mass cấp cho chân
GND, AGND, RESET của atmega8. Cùng lúc này điện áp +5v qua trở 1K (hạn
dòng) làm LED báo nguồn sáng. Khi Atmega8 hoạt động đồng thời lúc này thạch
anh 8MHZ cũng hoạt động kèm theo là hai tụ pi 18pf hoạt động với nhiệm vụ
chống nhiễu.
Nói về cổng CON6 gồm các chân : VCC, MOSI, MISO,SCK, RESET, GND,
các chân này được kết nôi với atmega8. Chương trình lập trình trên máy tính được
đưa vào vi điều khiển atmega8 qua cổng CON6.
Trang 24



 Nguyên lý hoạt động của khối công suất :
Đầu tiên tín hiệu từ vi điều khiển được đưa vào opto (cách li quang) làm cho led
bên trong opto sáng ,làm thông hai cực của photo diod mở cho tín hiệu dòng
điện chạy qua . Tín hiệu này được đưa vào chân G (cổng vào) của irf540, ngây
lập tức chân S và chân D của irf540 được thông với nhau và một dòng điện với
tín hiệu lớn ( ta cấp vào chân nguồn của irf540 (chân S) ) chạy từ chân S xuống
chân D (cổng ra) và đi đến động cơ.

b) Mạch so sánh (dùng IC LM324) :

Đây là sơ đồ nguyên lý mạch so sánh (dùng LM324).
Trang 25


×