Tải bản đầy đủ (.pdf) (26 trang)

Thiết kế và lắp ráp hệ điều khiển vị trí động cơ không đồng bộ xoay chiều 3 pha dùng FPGA (tt)

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (1.36 MB, 26 trang )

BỘ GIÁO DỤC VÀ ĐÀO TẠO
ĐẠI HỌC ĐÀ NẴNG

ĐOÀN PHƢỚC TRIỀU

THIẾT KẾ VÀ LẮP RÁP HỆ ĐIỀU KHIỂN
VỊ TRÍ ĐỘNG CƠ KHÔNG ĐỒNG BỘ
XOAY CHIỀU 3 PHA DÙNG FPGA

Chuyên ngành : Kỹ thuật điều khiển và tự động hóa
Mã số:

60.52.02.16

TÓM TẮT LUẬN VĂN THẠC SĨ KỸ THUẬT

Đà Nẵng - Năm 2015


Công trình đƣợc hoàn thành tại
ĐẠI HỌC ĐÀ NẴNG

Ngƣời hƣớng dẫn khoa học: PGS.TS. ĐOÀN QUANG VINH

Phản biện 1: TS. LÊ TIẾN DŨNG

Phản biện 2: TS. NGUYỄN HOÀNG MAI

Luận văn đƣợc bảo vệ tại Hội đồng chấm luận văn tốt nghiệp Thạc sĩ
kỹ thuật họp tại Đại học Đà Nẵng vào ngày 13 tháng 12 năm 2015.


* Có thể tìm hiểu luận văn tại:
- Trung tâm Thông tin học liệu, Đại học Đà Nẵng


1

MỞ ĐẦU
1. Lý do chọn đề tài
Sự bùng nổ của tiến bộ khoa học trong lĩnh vực điện - điện tử tin học hiện nay đã có những thay đổi sâu sắc cả về mặt lý thuyết lẫn
thực tế lĩnh vực truyền động điện tự động. Trƣớc hết phải kể đến sự ra
đời và ngày càng hoàn thiện các bộ biến đổi điện tử công suất, với kích
thƣớc gọn nhẹ, độ tác động nhanh cao, dễ dàng ghép nối với các mạch
điều khiển dùng mach vi điện tử, vi xử lý…Các hệ truyền động điện tự
động ngày nay thƣờng sử dụng nguyên tắc điều khiển vector cho các
động cơ xoay chiều. Phần lớn các mạch điều khiển này dùng kỹ thuật
số với chƣơng trình phần mềm linh hoạt, dễ dàng thay đổi các cấu trúc tham
số hoặc các luật điều khiển, vì vậy làm tăng độ chính xác và hiệu suất cho
các hệ truyền động.
FPGA có nhiều ƣu điểm vƣợt trội so với các công nghệ khác và
kể cả chi phí, có lợi thế trong việc canh tranh với thị trƣờng. FPGA là
viết tắt của cụm từ tiếng anh Field -Programmable Gate Array (mảng
cổng lập trình đƣợc dạng trƣờng) là một loại vi mạch tích hợp dùng cấu
trúc mảng phần tử logic mà ngƣời dùng có thể lập trình đƣợc.
Xuất phát từ những vấn đề thực tế đã nêu trên, tôi đã chọn đề
tài luận văn tốt nghiệp thạc sĩ: “Thiết kế và lắp ráp hệ điều khiển vị
trí động cơ không đồng bộ xoay chiều 3 pha dùng FPGA”
2. Ý nghĩa khoa học và thực tiễn của đề tài
a. Ý nghĩa khoa học
Đề tài xây dựng hệ thống điều khiển kín, phản hồi tốc độ, sử
dụng bộ điều khiển PID số để điều khiển ổn định vị trí động cơ không



2

đồng bộ xoay chiều ba pha rotor lồng sóc theo phƣơng pháp vector
không gian sử dụng FPGA.
b. Ý nghĩa thực tiễn
Hệ thống này cho phép sử dụng có hiệu quả, nâng cao chất lƣợng
động cơ không đồng bộ trong các hệ thống truyền động điện các nhà máy
công nghiệp.
3. Mục tiêu đề tài
Trong thực tế, tốc độ động cơ bị ảnh hƣởng bởi các yếu tố bên
ngoài, thƣờng là do tải, vì vậy tốc độ của động cơ thƣờng không đạt tốc
độ nhƣ mong muốn của ngƣời điều khiển. Từ thực tế đó, đề tài nhằm
mục tiêu xây dựng hệ thống biến tần điều khiển động cơ không đồng bộ
xoay chiều ba pha với vị trí động cơ đƣợc điều khiển bám theo tín hiệu
đặt mong muốn trong trƣờng hợp không có tải và có tải.
4. Nội dung của đề tài
- Tìm hiểu động cơ không đồng bộ xoay chiều ba pha rotor
lồng sóc.
- Xây dựng mô hình toán học động cơ không đồng bộ xoay
chiều ba pha rotor lồng sóc.
- Tìm hiểu phƣơng pháp điểu khiển vector, điều khiển động cơ
dựa trên cơ sở điều chế vector không gian.
- Xây dựng cấu trúc hệ truyền động điều khiển vị trí động cơ
không đồng bộ xoay chiều ba pha.
- Tổng hợp toàn bộ hệ thống.
- Mô phỏng hệ thống trên Matlab Simulink và thiết kế phần
cứng, lập trình cho hệ thống.
5. Bố cục đề tài

Dự kiến bố cục luận văn có 4 chƣơng:


3

LỜI MỞ ĐẦU
CHƢƠNG 1. Tổng quan động cơ không đồng bộ xoay chiều 3
pha rotor lồng sóc.
CHƢƠNG 2. Biến tần và hệ thống biến tần - động cơ không
đồng bộ xoay chiều 3 pha lồng sóc.
CHƢƠNG 3. Điều khiển vị trí động cơ không đồng bộ xoay
chiều 3 pha rotor lồng sóc.
CHƢƠNG 4. Sử dụng FPGA thiết kế bộ điểu khiển vị trí động
cơ không đồng bộ xoay chiều 3 pha.
6. Đối tƣợng và phƣơng pháp nghiên cứu
a. Đối tượng nghiên cứu
- Động cơ không đồng bộ xoay chiều ba pha rotor lồng
sóc (IM).
- Hệ thống biến tần.
- Phƣơng pháp điều khiển vector tựa theo từ thông rotor.
- FPGA, lập trình bộ điều khiển PID số.
- Matlab Simulink.
b. Phương pháp nghiên cứu
- Nghiên cứu lý thuyết, tìm hiểu các tài liệu liên quan đến đề
tài, xây dựng đƣợc nội dung cần trình bày trong luận văn.
- Tính toán dựa trên lý thuyết đƣa ra các hệ thống điều khiển.
- Mô phỏng các kết quả đạt đƣợc trên Matlab Simulink.
- Thiết kế phần cứng dựa trên mô hình đã tính toán đƣợc.



4

CHƢƠNG 1
TỔNG QUAN ĐỘNG CƠ KHÔNG ĐỒNG BỘ XOAY CHIỀU 3
PHA ROTOR LỒNG SÓC
1.1. KHÁI QUÁT CHUNG
1.2. MÔ HÌNH TOÁN HỌC CỦA ĐỘNG CƠ KHÔNG ĐỒNG BỘ
XOAY CHIỀU BA PHA
1.2.1. Vector không gian và hệ tọa độ từ thông
1.2.2. Mô hình toán học của động cơ
1.2.3. Mô hình trạng thái liên tục của ĐCKĐB rotor lồng
sóc trên hệ tọa độ 
 disa
 1 1 
1
1
1
 

 r 
 r 
u s
 isa 

dt

T

T


T


Ls
 s
r 

 di
 1 1 
1
1
1
 sb   

 r 
 r 
us
 is  
T
 Tr
 Ls
 dt
  Ts  Tr 

 d r  1 i  1     
s
r
r
 dt
Tr

Tr

 d r 1
1
 is    r   r

dt
T
T

r
r

(1.19)

1.2.4. Mô hình trạng thái liên tục của ĐCKĐB rotor lồng
sóc trên hệ tọa độ từ thông rotor (tọa độ dq)
 disd
 1 1 
1
1
1
 

 rd 
 rq 
usd
 isd  sisq 

dt


T

T

T


Ls
 s
r 

 di
 1 1 
1
1
1
 sq  s isd  

 rd 
 rq 
usq
 isq 
T
 Tr
 Ls
 dt
  Ts  Tr 

1

1
 d rd


 dt  T isd  T  rd  (s   ) rq
r
r

1
1
 d rd
 dt  T isq  (s   ) rd  T  rq

r
r

(1.23)

1.3. MÔ PHỎNG ĐỘNG CƠ KHÔNG ĐỒNG BỘ BA PHA TRÊN
MÔ HÌNH MATLAB - SIMULINK
1.3.1. Mô hình mô phỏng trên hệ tọa độ 


5

Hình 1.3. Mô hình mô phỏng ĐCKĐB trên hệ 
Kết quả mô phỏng

1.3.2. Mô hình mô phỏng trên hệ tọa độ dq



6

Hình 1.12. Mô hình mô phỏng ĐCKĐB trên hệ dq

Kết luận: Với phần cơ sở lý thuyết trình bày ở mục 1.1 và 1.2, khi
tiến hành mô phỏng trên Matlab - Simulink, ta thấy các thống số đầu ra
của hai mô hình trên hai tọa độ  và dq là nhƣ nhau. Quá trình khởi
động ban đầu không tải sau hơn 1 giây thì tốc độ động cơ đƣợc xác lập.
Sau khoảng thời gian 1,5 giây ta đóng tải thì tốc độ và từ thông của
động cơ giảm, momen và dòng điện tăng lên 1 giá trị nhất định. Các
đƣờng đặc tính có kết quả khá chính xác và phù hợp với thực tế.


7

CHƢƠNG 2
HỆ THỐNG BIẾN TẦN - ĐỘNG CƠ XOAY CHIỀU BA PHA
2.1. HỆ THỐNG BIẾN TẦN - ĐỘNG CƠ XOAY CHIỀU BA PHA
2.1.1. Hệ thống biến tần nguồn áp - động cơ ba pha rotor
lồng sóc
2.1.2. Hệ thống biến tần nguồn dòng - động cơ ba pha rotor
lồng sóc
2.2. BIẾN TẦN - ĐỘNG CƠ THEO PHƢƠNG PHÁP ĐIỀU
CHỈNH ĐỘ RỘNG XUNG (PWM)

Hình 2.14. Bộ biến tần điều chỉnh độ rộng xung
Mô hình hệ thống biến tần - động cơ xoay chiều 3 pha theo
phƣơng pháp PWM xây dựng trên Matlab - Simulink


Hình 2.16. Mô hình PWM trên Matlab - Simulink


8

Kết quả mô phỏng
80
60
40

Ampe

20
0
-20
-40
-60
-80

0

0.05

0.1

0.15

0.2

0.25

Time

0.3

0.35

0.4

0.45

0.5

Hình 2.13. Dòng điện Stator khi khởi động động cơ và khi ổn định
động cơ

Hình 2.14. Đặc tính tốc độ và momen động cơ
Nhận xét
Với phƣơng pháp điều chế độ rộng xung nhƣ trên, ta có thể
điều tốc độ động cơ dễ dàng bằng cách điều chỉnh tần số sóng Sin, đáp
ứng đƣợc yêu cầu điều khiển tốc độ. Tuy nhiên nhiễu sóng hài bậc cao
từ phƣơng pháp này là khá lớn, ta có thể hạn chế bằng cách lọc nhiễu
và tăng tần số xung răng cƣa. Ngoài ra hiệu suất của phƣơng pháp này
chƣa cao.
2.3. BIẾN TẦN - ĐỘNG CƠ THEO PHƢƠNG PHÁP VECTOR
KHÔNG GIAN
2.3.1. Nguyên lý hoạt động
2.3.2. Khái niệm vector không gian và phép chuyển vector
không gian
2.3.3. Điều chế vector không gian



9

Mô phỏng trên mô hình matlab - simulink

Hình 2.26. Mô hình simulink
Kết quả mô phỏng
600

400

Iabc (A)

200

0

-200

-400

-600

0

0.1

0.2

0.3


0.4
Time

0.5

0.6

0.7

0.8

Hình 2.27. Dòng điện ba gia ứng với N=48, f=50 Hz
1500
1000
500
0

0

0.2

0.4

0.6

0.8

1


1.2

1.4

1.6

1.8

2

1.4

1.6

1.8

2

Dac tinh toc do
1500
1000
500
0
-500

0

0.2

0.4


0.6

0.8
1
1.2
Dac tinh tu thong

Hình 2.28. Đặc tính tốc độ và momen của động cơ


10

Nhận xét: Phƣơng pháp điều chế vector không gian về cơ bản
là phƣơng thức thay thế vector điện áp ba pha đối xứng thành một
vector quay trong không gian. Nhƣ vậy thay vì phải tính toán trên ba
pha ta chỉ cần tính toán trên hệ trục hai pha theo độ lớn và góc pha của
đại lƣợng vector quay. Hay nói cách khác, thời gian đóng mở các van
đƣợc tính toán hợp lý. Đây là một phƣơng pháp có tính hiện đại,
phƣơng pháp này có giá trị điện áp tốt và dạng sóng dòng điện tải gần
Sin nhất trong các phƣơng pháp. Chất lƣợng điều khiển tốt hơn so với
phƣơng pháp PWM.
2.4. KẾT LUẬN CHƢƠNG
Chƣơng 2 đã giới thiệu tổng quan về biến tần và hệ thống biến
tần - động cơ, bên cạnh đó chƣơng đã trình bày hai phƣơng pháp điều
chế độ rộng xung PWM và phƣơng pháp điều chế vector. Hai phƣơng
pháp trên đƣợc mô phỏng trên Matlab - Simulink. Mỗi phƣơng pháp
điều có những ƣu nhƣợc điểm khác nhau. Trong hai phƣơng pháp,
phƣơng pháp điều biến độ rộng xung dễ điều khiển, không cần phải tính
toán phức tạp. Tuy nhiên chất lƣợng điều khiển không cao, hiệu suất

không bằng phƣơng pháp điều chế vector không gian.
CHƢƠNG 3
ĐIỀU KHIỂN VỊ TRÍ ĐỘNG CƠ KHÔNG ĐỒNG BỘ BA PHA
3.1. TỔNG QUAN VỀ HỆ ĐIỀU CHỈNH VỊ TRÍ
3.1.1. Hệ điều chỉnh vị trí là tuyến tính
3.1.2. Điều chỉnh vị trí tối ƣu theo thời gian
3.1.3. Hệ truyền động điều khiển vị trí làm việc trong chế độ bám


11

3.2. HỆ THỐNG ĐIỀU CHỈNH VỊ TRÍ ĐỘNG CƠ XOAY CHIỀU
BA PHA THEO PHƢƠNG PHÁP PWM
Hệ điều chỉnh vị trí có 2 vòng điều khiển, vòng điều khiển tốc
độ và một điều khiển vị trí. Vòng điều khiển tốc độ nằm ở trong. Tín
hiệu đặt cho bộ điều khiển vị trí



bao gồm vị trí và chiều. Tín hiệu

điều khiển vị trí sẽ là tín hiệu đặt cho bộ điều khiển tốc độ. Hai tín hiệu
vị trí và tốc độ đặt sẽ đƣợc so sánh với tín hiệu tốc độ và vị trí thực
nhận từ cảm biến Encoder.

Hình 3.12 Hệ thống truyền động điều chỉnh vị trí
3.2.1. Điều khiển điện áp - tần số không đổi
3.2.2. Xây dựng hệ điều khiển vị trí trên Matlab - Simulink
a. Điều khiển tốc độ
b. Điều khiển vị trí


Hình 3.18. Mô hình điều khiển vị trí


12

Kết quả mô phỏng
Toc do

rad/s

200
0
-200

0

0.5

1

1.5

2

2.5
Time
Momen

3


3.5

4

4.5

5

0

0.5

1

1.5

2

2.5
Time
Vi trí

3

3.5

4

4.5


5

0

0.5

1

1.5

2

2.5
Time

3

3.5

4

4.5

5

N*m

200
0

-200

rad

100
0
-100

Hình 3.19. Vị trí đặt rotor là 50 rad.
Toc do

rad/s

200
0
-200

0

0.5

1

1.5

2

0

0.5


1

1.5

2

2.5
Time
Momen

3

3.5

4

4.5

5

2.5

3

3.5

4

4.5


5

3

3.5

4

4.5

5

N*m

200
0
-200

rad

Vi tri
40
20
0
-20
-40

0


0.5

1

1.5

2

2.5
Time

Hình 3.20. Vị trí đặt rotor là 30 rad.
Nhận xét: Kết quả trên đồ thị ta thấy vị trí của động cơ đƣợc
đáp ứng đúng với giá trị đặt. Sau thời gian khoảng 2 giây vị trí đƣợc
xác lập. Thử nghiệm khi có tải tác động đến vị trí, ở khoảng thời gian 3
giây, tải tác động vào động cơ, vị trí bị thay đổi nhƣng sau 0.5 giây vị
trị đƣợc điều chỉnh lại vị trí ban đầu.
3.3. HỆ THỐNG ĐIỀU CHỈNH VỊ TRÍ ĐỘNG CƠ XOAY CHIỀU
BA PHA THEO PHƢƠNG PHÁP ĐIỀU KHIỂN VECTOR
3.3.1. Tuyến tính hóa mô hình ĐCKĐB
3.3.2. Tổng hợp bộ điều chỉnh tốc độ


13

3.3.3. Tổng hợp bộ điều chỉnh vị trí
3.3.4. Mô phỏng hệ thống điều khiển vị trí động cơ không
đồng bộ 3 pha rotor lồng sóc theo phƣơng pháp điều khiển vector
xây dựng trên Matlab - Simulink
a. Điều khiển tốc độ

b. Điều khiển vị trí

Hình 3.30. Mô hình điều khiển vị trí
Kết quả mô phỏng
Momen

N*m

500
0
-500

0

0.5

1

1.5

2

2.5

3

3.5

4


4.5

5

0

0.5

1

1.5

2

2.5
Vi tri

3

3.5

4

4.5

5

0

0.5


1

1.5

2

2.5
Time

3

3.5

4

4.5

5

vong/phut

200
0
-200

Rad

4
2

0

Hình 3.31. Vị trí đặt rotor là  rad


14
Momen

N*m

500
0
-500

0

0.5

1

1.5

2

2.5
Toc do

3

3.5


4

4.5

5

0

0.5

1

1.5

2

2.5
Vi tri

3

3.5

4

4.5

5


0

0.5

1

1.5

2

2.5
Time

3

3.5

4

4.5

5

Vong/phut

1000
0
-1000

Rad


40
20
0

Hình 3.32. Vị trí đặt là 30 rad
Nhận xét: Vị trí của rotor đáp ứng tốt với vị trí đặt là  rad và 30 rad.
Trong mô phỏng, sau 3 giây tiến hành đóng tải để làm sai lệch vị trí. Sau
khoảng thời gian 1 giây vị trí rotor đƣợc điều chỉnh trở lại vị trí đặt ban đầu
nhanh và chính xác.
Với hai phƣơng pháp điều khiển tốc độ và vị trí theo phƣơng pháp
PWM và điều khiển Vector thì kết quả đều đáp ứng chính xác với giá trị đặt
ban đầu. Tuy nhiên, nhƣợc điểm của phƣơng pháp PWM là gây ra nhiễu,
sóng hài bậc cao nhiều. Cụ thể, khi phân tích phổ sóng hai ta thấy rõ ở hai
biểu đồ sau:
Iabc signal: 150 cycles. FFT window (in red): 1 cycles
50
0
-50
0

0.5

1

Time (s) 1.5

2

2.5


3

Mag (% of Fundamental)

Fundamental (50Hz) = 72.76 , THD= 24.83%
20
15
10
5
0

0

100

200

300

400
500
600
Frequency (Hz)

700

800

900


1000

Hình 3.33. Phân tích sóng hài ở phương pháp PWM


15
Iabc signal: 250 cycles. FFT window (in red): 1 cycles
400
200
0
-200
-400
0

0.5

1

1.5

2

2.5
Time (s)

3

3.5


4

4.5

800

900

Fundamental (50Hz) = 279.2 , THD= 87.24%

Mag (% of Fundamental)

80
60
40
20
0

0

100

200

300

400
500
600
Frequency (Hz)


700

1000

Hình 3.34. Phân tích sóng hài ở phương pháp điều khiển Vector
3.4. KẾT LUẬN CHƢƠNG
Chƣơng 3 trình bày một số vấn đề về hệ điều chỉnh vị trí động
cơ xoay chiều ba pha; điều chỉnh tốc độ, vị trí động cơ ba pha theo hai
2 phƣơng pháp điều chế độ rộng xung PWM và điều khiển vector. Qua
quá trình khảo sát hai phƣơng pháp trên thì phƣơng pháp điều khiển
vector tỏ ra có ƣu điểm. Quan sát trên đồ thị, ta thấy vị trí, momen và
tốc độ áp đặt nhanh, chính xác. Khả năng chịu tải tốt.
CHƢƠNG 4
SỬ DỤNG FPGA VÀ LABVIEW THIẾT KẾ BỘ ĐIỂU KHIỂN
VỊ TRÍ ĐỘNG CƠ KHÔNG ĐỒNG BỘ XOAY CHIỀU 3 PHA
4.1. TỔNG QUAN VỀ FPGA
4.1.1. FPGA là gì?
4.1.2. Ứng dụng của FPGA
4.1.3. Quy trình thiết kế FPGA
4.1.4. Giới thiệu các khối chức năng chính của Kit FPGA
SPARTAN 3E
4.2. TỔNG QUAN VỀ LABVIEW
4.2.1. LabVIEW là gì?
4.2.2. Các tính năng chính của LabVIEW


16

4.2.3. Các bƣớc tạo project trong labview cho FPGA

SPARTAN 3E
4.2.4. Các khối cơ bản trong LabVIEW
4.3. MÔ HÌNH ĐIỀU KHIỂN TỐC ĐỘ VÀ VỊ TRÍ ĐỘNG CƠ
XOAY CHIỀU 3 PHA SỬ DỤNG FPGA

Hình 4.27. Mô hình điều khiển tốc độ và vị trí động cơ 3 pha
Tín hiệu điều khiển sẽ đƣợc đặt ở bảng điều khiển LabVIEW từ
máy tính và đƣợc gửi đến FPGA, FPGA sẽ xử lý tín liệu và phát xung
kích thông qua mạch cách lý để đến bộ nghịch lƣu (chùm xung kích
đƣợc điều chế theo phƣơng pháp PWM). Tín hiệu tốc độ và vị trí từ
Encoder đƣợc gửi đến FPGA thông qua mạch đọc Encoder và FPGA so
sánh tín hiệu đặt và tín hiệu thực tế để điểu khiển chùm xung kích chính
xác, đáp ứng yêu cầu đề ra của ngƣời điều khiển.
4.3.1. Thiết kế phần cứng
a. Bộ chỉnh lưu
Với chỉnh lƣu cầu 4 Diode thì hệ số nhấp nhô là 0,79. Theo
kinh nghiệm thì ta chọn tụ lọc có dung kháng 470 , điện áp 400V.


17

Hình 4.28. Mạch chỉnh lưu
b. Bộ nghịch lưu
Khối nghịch lƣu dùng để biến đổi điện áp 1 chiều thành điện áp
xoay chiều 3 pha có thể điều chỉnh tần số cung cấp cho động cơ.

Hình 4.30. Sơ đồ nguyên lý mạch nghịch lưu

Hình 4.31. Mạch nghịch lưu dùng IGBT



18

c. Mạch cách ly (mạch driver)
Mạch cách ly có nhiệm vụ cách ly kit FPGA và mạch nghịch
lƣu. Nhằm tránh trƣờng hợp FPGA bị ảnh hƣởng do mạch nghịch lƣu bị
sự cố cháy nổ.
Bên cạnh đó, FPGA phát ra 3 tín hiệu PWM đƣa vào mạch
cách ly, ngoài nhiệm vụ bảo vệ, mạch cách ly làm nhiệm vụ chia 3
xung đó thành 3 cặp xung có cùng tần số nhƣng ngƣợc pha nhau để đƣa
và 6 van IGBT.

Hình 4.32. Nguyên lý mạch driver
Mạch cách ly sử dụng OPTO 817C để cách lý, sử dụng IC
Driver IR2184 để chia tín hiệu PWM thành 2 tín hiệu PWM cùng tần
số, ngƣợc pha nhau.

Hình 4.33. Mạch driver


19

d. Mạch đọc Encoder
Tín hiệu từ Encoder đƣa về Kit FPGA thông qua mạch đọc.
Mục đích sử dụng mạch này vì, kit FPGA đọc tín hiệu ở mức 3,3V,
trong khi đó, tín hiểu xung từ Encoder là 5V. Để đơn giản trong trong
việc đọc tín hiệu, tác giả sử dụng OPTO 6N137. Loại OPTO này có tần
số hoạt động cao, 1Mhz và hoạt động ổn định.

Hình 4.37. Mạch đọc Encoder

4.3.2. Chƣơng trình điêu khiển động cơ
Khối nhận data sẽ nhận giá trị đặt và
giá trí phản hồi vị trí từ Encoder để so sánh,
sai số vị trí sẽ là giá trị đặt cho bộ điều khiển
PID vị trí. Đầu ra của PID vị trí là giá trị đặt
cho PID tốc độ. Tín hiệu điều khiển của PID
tốc đó là tín hiệu điều khiển tần số và điền áp
3 sóng Sin để tạo ra 3 tín hiệu PWM cung
cấp cho các van IGBT.

Hình 4.38. Cấu trúc điều khiển vị trí động cơ 3 pha


20

a. Điều chế PWM trên FPGA sử dụng LabVIEW
Phƣơng pháp điều chế PWM đã đƣợc trình bày rõ trong chƣơng
3. Về nguyên lý, PWM đƣợc điều chế từ 3 sóng Sin đặt lệch nhau 1200,
3 sóng Sin này đƣợc so sánh với 1 xung răng cƣa có tần số 2Khz. Muốn
điều chỉnh tốc độ của động cơ ta chỉ cần điều chỉnh tần số sóng Sin.
Vấn đề thay đổi tấn số của điện áp stator
Khi thay đổi tần số f để điều chỉnh tốc độ thì ngƣời ta phải kết hợp
thay đổi điện áp trên Stator sao cho đảm bảo đƣợc tỉ lệ U/f = const. Với
phƣơng pháp PWM, để điều chỉnh đƣợc U/f = const ta tiến hành điểu
chỉnh biên độ và tần số sóng Sin.
Trong lập trình điều chế PWM điều khiển động cơ xoay chiều
ba pha theo phƣơng pháp U/f = const, tác giả tạo sóng Sin có biên độ
A= 512 và tần số tối đa là f = 50Hz. Điều chỉnh tần số là điều chỉnh tốc
độ. Ở đây ta tạo giá trị đặt điều khiển là tốc độ và quy đổi ra tần số để
điều khiển sóng Sin.

- Để điều chỉnh tần số từ tốc độ. Ta lập phƣơng trình:
y1 = ax1
Với:

y1 là tần số điều khiển mong muốn.

x1 là tốc độ đặt.
a là hệ số, a = 0,35
- Để điều chỉnh biên độ sóng Sin, ta lấy biên độ A = 512 nhân
với biến y2

0  y2  1 thì biên độ A tƣơng ứng với 0  A  512 . Khi

đó ta lập đƣợc biểu thức: A. y2 = b.x1
Với:

x1 là tốc độ đặt.
y2 là biến phụ thuộc vào tốc độ.
A là biên độ sóng Sin.
b là hệ số, b = 6,7.10-4


21

Từ đó, ta lập trình trong LabVIEW nhƣ sau:

Hình 4.40. Lập trình điều khiển U/f = const
Điều chế PWM 3 pha:

Hình 4.41. Lập trình điều chế PWM 3 pha

b. Điều khiển tốc độ và vị trí sử dụng PID trên FPGA
Theo mô yêu cầu điều khiển thì hệ thống có 2 vòng điều khiển,
một vòng điều khiển tốc độ và một vòng điều khiển vị trí. Đầu ra từ tín
hiệu PID điều khiển vị trí sẽ là đầu vào của PID điều khiển tốc độ, đầu
ra của PID điều khiển tốc độ sẽ là một tín hiệu hiệu điều khiển tần số và
biên độ sóng Sin theo theo phƣơng pháp U/f = const nhƣ đã trình bày ở
phần trên.
Trong LabVIEW đã có hỗ trợ bộ điều khiển PID. Ta chỉ cần
đƣa giá trị đặt và tín hiệu phản hồi từ cảm biến về để điều khiển.


22

Hình 3.42. Lập trình điều khiển tốc độ và vị trí động cơ 3 pha sử dụng
PID

Hình 4.43. Giao diện bảng điều khiển

Hình 4.44.Mô hình phần cứng
Nhận xét: Mô hình trên thực tế đã hoạt động và đáp ứng đƣợc
mục đích điều khiển. Tuy nhiên, khi so sánh kết quả thực nghiệm với
kết quả mô phỏng trên phần mềm thì vẫn còn sai số. Cụ thể, khi giá trị


23

góc đặt lớn thì sai số sẽ đƣợc cộng dồn. Vì vậy, khi điều khiển quay về vị
trí ban đầu vị trí sẽ không quay về chính xác, vẫn có một sai số nhỏ.
4.4. KẾT LUẬN CHƢƠNG
Chƣơng 4 trình bày các nội dung FPGA và LabView và ứng

dụng của nó để thiết kế hệ thống điều khiển tốc độ, vị trí động cơ xoay
chiều ba pha. Và đề tài cũng đã xây dựng thành công thiết kế phần
cứng. Mô hình phần cứng hoạt động khá ổn định, đáp ứng đƣợc mục
tiêu đặt ra. Tuy nhiên việc hiệu chỉnh thông số PID chƣa đƣợc tối ƣu
nên vẫn còn sai số nhỏ khi hệ thống hoạt động.
KẾT LUẬN VÀ KIẾN NGHỊ
Sau một thời gian làm việc nghiêm túc, khẩn trƣơng và đƣợc sự
giúp đỡ của PGS.TS Đoàn Quang Vinh, tác giả đã hoàn thành đúng nội
dung luận văn đã đăng ký. Luận văn đã giải quyết đƣợc các nội dung
theo yêu cầu với nội dung:
Chƣơng 1: Tổng quan động cơ không đồng bộ xoay chiều 3 pha rotor
lồng sóc.
Chƣơng này đã khát quá về động cơ không đồng bộ, xây dựng
mô hình toán học của động cơ không đồng bộ trên hệ tọa độ  và sử
dụng Matlab-Similink để mô phỏng. Kết quả khá chính xác và phù hợp
với thực tế.
Chƣơng 2: Biến tần và hệ thống biến tần - động cơ không đồng bộ
xoay chiều ba pha lồng sóc.
Tìm hiểu các loại biến tần: Biến tần trực tiếp, biến tần gián tiếp.
Mô phỏng thành công hệ thống biến tần - động cơ không đồng bộ ba
pha trên Matlab - Similink. Kết quả phù hợp với lý thuyết.


×