Tải bản đầy đủ (.docx) (24 trang)

Thiết kế ô tô điều khiển từ xa và tự động tránh vật cản

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (973.61 KB, 24 trang )

d

BÁO CÁO
Đề tài: “Thiết kế ô tô điều khiển từ xa và tự động tránh vật c ản ”


MỤC LỤC
MỤC LỤC................................................................................................................................................... i
DANH MỤC BẢNG HÌNH VẼ................................................................................................................ ii
LỜI MỞ ĐẦU........................................................................................................................................... 1
ĐỀ TÀI VÀ ĐỊNH HƯỚNG ĐỀ TÀI...................................................................................................... 2
CHƯƠNG I: CƠ SỞ LÝ THUYẾT......................................................................................................... 3
1.1. Kit Xilinx Coolrunner-II................................................................................................................3
1.1.1. Tổng quan............................................................................................................................................. 3
1.1.2. Cấu hình................................................................................................................................................ 4
1.1.3. Các thiết bị điện trong board........................................................................................................ 4
1.1.4. Đồng hồ................................................................................................................................................. 5
1.1.5. Các thiết bị I/O.................................................................................................................................... 5
1.1.6. Cổng kết nối mở rộng..................................................................................................................... 5
1.1.7. Thiết kế board.................................................................................................................................... 6
1.2 Điều khiển vô tuyến.....................................................................................................................6
1.2.1.

Tổng quan về sóng điện từ....................................................................................................... 6

1.2.2.

IC tạo mã và giải mã PT2262, PT2272................................................................................. 7

1.2.3.


Modul thu phát RF31-4CH......................................................................................................... 9

1.3 Cảm biến siêu âm SRF05.........................................................................................................11
1.4. Mạch cầu H.................................................................................................................................. 13
1.4.1.

Khái niệm về mạch cầu H...................................................................................................... 13

1.4.2.

IC cầu H L293D............................................................................................................................ 15

CHƯƠNG II: QUÁ TRÌNH THIẾT KẾ.............................................................................................. 17
2.1. Sơ đồ khối hệ thống................................................................................................................17
2.2. Thiết kế phần cứng..................................................................................................................18
2.2.1. Thiết kế phần khung xe............................................................................................................... 18
2.2.2. Thiết kế bộ chuyển đổi điện áp 3.3V.................................................................................... 18
2.3. Thiết kế phần mềm..................................................................................................................19
Kết luận................................................................................................................................................ 21
1. Kết quả đạt được.........................................................................................................................21
2. Những tồn tại và hướng phát triển của đề tài.................................................................21


Báo cáo đồ án môn học

Danh mục bảng hình vẽ

DANH MỤC BẢNG HÌNH VẼ
Hình 1.1: KIT Cool Runner II..........................................................................................................6
Hình 1.2: Sơ đồ khối các chân IO của KIT Coolrunner II............................................................7

Hình 1.3: Sơ đồ kết nối CRII với Led 7.........................................................................................8
Bảng 1.1: Bảng mã quét của board CRII.......................................................................................9
Hình 1.4: Dạng sóng điện từ trong không gian................................................................................9
Hình 1.5: Sơ đồ khối bộ thu phát RF..............................................................................................10
Hình 1.6: Sơ đồ chân IC thu phát RF PT2262/2272......................................................................10
Hình 1.7: Sơ đồ mã hóa bên phát...................................................................................................11
Hình 1.8: Sơ đồ khối các khối chức năng trong IC PT2272..........................................................12
Hình 1.9: Modul phát RF...............................................................................................................12
Hình 1.10: Modul thi RF................................................................................................................13
Bảng 1.11: Chức năng các chân của modul thu RF.......................................................................13
Hình 1.12: Cảm biến siêu âm SRF05.............................................................................................14
Hình 1.13: Dạng xung các chân ra của SRF05 mode 1.................................................................15
Hình 1.14: Dạng xung SRF05 mode 2...........................................................................................15
Hình 1.16: Điều khiển mạch cầu H................................................................................................17
Hình 1.17: IC cầu H L293D...........................................................................................................18
Hình 2.1: Sơ đồ khối hệ thống........................................................................................................20
Hình 2.2: Thiết kế phần cứng.........................................................................................................21
Hình 2.3: Mạch phân áp 3.3V.......................................................................................................22
Hình 2.4: Sơ đồ kết nối toàn hệ thống............................................................................................22
Hình 2.5: Lưu đồ thuật toán hệ thống.............................................................................................23


Báo cáo đồ án môn học

Lời mở đầu

LỜI MỞ ĐẦU
Ngày nay, khoa học kỹ thuật phát triển, đặc biệt là trong lĩnh vực tự động hóa. Robot
ra đời và ngày càng được ứng dụng trong thực tế, nhất là trong các nhà máy hoặc làm việc
trong môi trường khắc nghiệt. Hiện nay, robot ngày càng quan trọng và không thể thiếu

trong cuộc sống con người.
Với chủ để robot và trong khuân khổ bộ môn “ Đồ án thiết kế hệ thống số” , nhóm
đã đề xuất và thực hiện ý tưởng thiết kế với các chức năng chính như sau:
- Chức năng 1: Điều khiển từ xa thông qua sóng RF, chế độ điều khiển có các trạng
thái là đi thẳng, lùi, rẽ trái và rẽ phải.
- Chức năng 2: chế độ tự động, xe tự động di chuyển trên một địa hình nào đó và tự
động tránh va chạm với vật cản phía trước.
Ứng dụng thực tế của đề tài này là mình sẽ dùng điều khiển từ xa để điều khiển xe
đến một chỗ khuất mà con người không thể chui vào được, sau đó ấn định chế độ dò
đường tự động, trên xe sẽ gắn thêm mấy modul mở rộng như các cảm biến để truyền dữ
liệu về máy tính
Để thực hiện được đề tài, nhóm đã thảo luận và tiến hành các phần chính như sau:
- Chương I: Cơ sở lý thuyết
- Chương II:Quá trình thiết kế
- Chương III: Đánh giá kết quả
Do mới lần đầu nghiên cứu về robot nên trong quá trình thực hiện còn gặp nhiều khó
khăn, nhiều lỗi chưa khắc phục được. Mạch chế tạo còn đơn giản, chưa tích hợp được
nhiều chức năng, rất mong được sự góp ý của thầy hướng dẫn và các bạn.
Nhóm sinh viên thực hiện
Nhóm 8
TRẦN VĂN HIỆU
BÙI VĂN HÙNG
NGUYỄN THẾ THẮNG
NGUYỄN MẠNH TIẾN


Báo cáo đồ án môn học

Đề tài và định hướng thực hiện


ĐỀ TÀI VÀ ĐỊNH HƯỚNG ĐỀ TÀI
Trong khuân khổ bộ môn “Đồ án thiết kế hệ thống số” và với đề bài là “Thiết kế ô tô
điều khiển từ xa và tự động tránh vật cản”, nhóm đưa ra định hướng thực hiện như sau:


Sử dụng module thu phát không dây bằng sóng RF để điều khiển ô tô từ xa.



Sử dụng kit CoolRunner II để xử lý, điều khiển ô tô.



Sử dụng cảm biến siêu âm SRF05 để đo khoảng cách, xác định có vật cản phía
trước, từ đó xử lý để ô tô không va chạm với vật cản.



Sử dụng 3 cảm biến SRF05 để xác định hướng đi cho ô tô trong trường hợp ô tô
gặp vật cản.

Để thực hiện được bài tập và các định hướng đặt ra, yêu cầu các thành viên trong
nhóm phải tìm hiểu và nắm chắc cơ sở lý thuyết về các thành phần liên quan, hiểu rõ về
kit xử lý CoolRunner II để có thể lập trình và giao tiếp giữa CoolRunner và các module
nhỏ khác có trong hệ thống ô tô. Mục đích mà nhóm đặt ra cho để tài là:
 Thiết kế được một hệ thống số thực hiện được một số đơn giản trên nền tảng kit
xử lý CoolRuner II, ứng dụng kiến thức lập trình VHDL đã học trong môn Thiết kế logic
số để lập trình thực tế trên kit.
 Thiết kế được hệ thống ô tô ứng dụng kit số thực hiện được một số chức năng
đơn giản, như định hướng đã đặt ra.

Sau khi xác định được định hướng và mục đích thực hiện đề tài, trong phần sau, báo
cáo sẽ đi vào phân tích cụ thể các mảng kiến thức, cơ sở lý thuyết cần thiết phục vụ cho
việc hoàn thiện đề tài.


Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

CHƯƠNG I: CƠ SỞ LÝ THUYẾT
1.1. Kit Xilinx Coolrunner-II.
1.1.1. Tổng quan
Giới thiệu CPLD: CPLD là sự phát triển tiếp của PLD như PLA, PAL với phần tử cơ
bản là các Macrocell, bao gồm nhiều khối tương tự PAL( có thể chứa từ 2-100 khối mỗi
khối 16 macrocell). Mỗi macrocell có 20 cổng logic.
Board coolrunner II được phát triển từ nền tảng hoàn chỉnh mạch USB-powered cho
Coolrunner II CPLD của Xilinx. Board Coolrunner II bao gồm các linh kiện sử dụng năng
lượng hiệu quả cao, một bộ dao động được lập trình sẵn, nhiều chuẩn I/O và khối I/O, kết
nối với bộ nguồn bằng một cổng USB2. Board còn bao gồm năm đầu nối mở rộng tạo
thành 64 tín hiệu CPLD có sẵn kết nối ngoại vi của mạch.

Hình 1.1: KIT Cool Runner II
Tính năng bao gồm:
- Board Coolrunner II CPLD 256 macrocell trong 1 gói TQ-144( kích cỡ
20x20mm, loại leaded).
- Một cổng USB2 gắn trong mạch cho bộ nguồn nuôi, trình JTAG và các bộ
chuyển đổi dữ liệu


Báo cáo đồ án môn học


-

Chương I: Cơ sở lý thuyết

Một bộ dao động tần số cố định 8MHz và một ổ cắm cho một bộ dao động thạch

anh.
- Bộ kết nối mở rộng cho 64 tín hiệu I/O (32 trên các kết nối Pmod và 32 trên các
kết nối kiểu parallel).
- Một dây DS28E01Q EEPROM

Hình 1.2: Sơ đồ khối các chân IO của KIT Coolrunner II
1.1.2. Cấu hình
CPLD của board Coolrunner II phải được cấu hình( hay lập trình) bởi người dùng
trước khi nó có thể thực hiện bất kì chức năng nào. Các tập tin có thể được tạo ra từ sơ đồ
nguyên lý hoặc các tập tin mã nguồn HDL bằng cách sử dụng phần mềm ISE WebPack
miễn phí của Xilinx. Những file cấu hình có thể được chuyển giao cho board Coolrunner
II bằng một dây cáp USB và phần mềm trung gian của Xilinx hoặc sử dụng một dây cáp
kết nối lập trình ra bên ngoài
Sau khi cấu hình, các CPLD vẫn giữ được trạng thái vô thời hạn của nó. Khi board
Coolrunner II được hỗ trợ, cấu hình CPLD ngay lập tức được nạp. Một cấu hình mới có
thể được nạp bất cứ lúc nào, và ngau sau khi một cấu hình mới được nạp, nó xác định
hành vi của CPLD.
1.1.3. Các thiết bị điện trong board
Board Coolrunner II có thể được cấp nguồn từ cổng USB tích hợp của nó hoặc
nguồn cung cấp bên ngoài gắn vào đầu nối JP3. Jump JP2 cũng có thể được chọn trên
board sử dụng nguồn USB hoặc nguồn năng lượng bên ngoài. Nguồn bên ngoài từ bất cứ
nguồn nào được định tuyến thông qua một bộ điều chế Maxim LT3028 để cung cấp 2
nguồn điện áp (3,3V với các thiết bị I/O và 1,8V với vùng lõi board) theo yêu cầu của

CPLD. Khi nguồn board được cung cấp, Led báo hiệu cấp nguồn sẽ phát sáng.
Để sử dụng một nguồn điện bên ngoài, thiết lập Jump JP2 để BAT và cung cấp điện
cho các chân JP3 trong khoảng 3,6- 9V.


Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

Board Coolrunnner II được sử dụng từ một mạch in 4 lớp với các lớp bên trong dành
riêng để đi dây nguồn Vcc và nối đất GND. Với cấu hình Maxim cùng một bộ nguồn nuôi
tốt và các tụ điện trên tất cả các IC sẽ tạo ra năng lượng tiêu hao thấp và giúp tiết kiệm
đáng kể năng lượng, đồng thời giúp cho việc thiết kế được đơn giản hơn.
1.1.4. Đồng hồ
Board Coolrunner II bao gồm một bộ dao động tần số cố định cung cấp một tín hiệu
đồng hồ 8MHz. Đầu ra của bộ dao động đặt ở nhãn PCLK trong sơ đồ mạch in, được kết
nối tới chân GCLK2 của CPLD (tại P38) để nó có thể được chuyển đến bộ chia đồng hồ
nội bộ. Các đường kết nối cho một nửa kích thước tiêu chuẩn bộ dao động DIP được cấp
tại IC3
1.1.5. Các thiết bị I/O
Board Coolrunner II cung cấp hai nút bấm và hai công tắc trượt cho các đầu vào và
màn hình Led 4 số cho các đầu ra.
Các nút bấm hoạt động mức thấp và các chuyển mạch bao gồm các điện trở để bảo
vệ khi bị ngắn mạch. Các đèn Led hoạt động ở mức cao và màn hình hiển thị Led 7 đoạn
anode chung sử dụng các transistor riêng biệt hoạt động khi các tín hiệu cực cathode ở
mức tích cực thấp.
Ba đèn Led bổ sung khi hoạt động báo hiệu nguồn cấp cho USB hoạt động tốt
(LD4), bảng nguồn tốt (LD5) và tình trạng thái liên kết USB tốt (LD6).

Hình 1.3: Sơ đồ kết nối CRII với Led 7

1.1.6. Cổng kết nối mở rộng
Board Cool runner II cung cấp 4 khối 12 chân kết nối modul ngoại vi, mỗi kết nối
cung cấp 2 kết nối VDD và GND và 8 tín hiệu CPLD riêng biệt. Mỗi kết nối có thể phục
vụ 1 Pmod 12 chân đơn hoặc 2 Pmod 6 chân Digilent làm cho một số Pmod 6 chân có thể
gắn vào các kết nối của chúng, bao gồm cả bảng mạch loa, mạch cầu H, bảng mạch cảm
biến, vv..


Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

Cơ chế mở rộng linh hoạt cho phép các nhà thiết kế dễ dàng thêm các chức năng như
chuyển đổi analog-to-kỹ thuật số, giao diện động cơ servo, đèn flash nối tiếp, kênh nối
tiếp chuẩn RS232, và hơn 20 mô-đun ngoại vi khác, vv..
Board Coolrunner II cũng cung cấp 1 kết nối mở rộng 40 chân bao gồm 3 tín hiệu
nguồn cung cấp và 37 tín hiệu vào ra I/ O
1.1.7. Thiết kế board
Thiết kế được lập trình sẵn vào CPLD có một số chức năng có thể dễ dàng nhìn thấy
khi các module ngoại vi thích hợp được được đưa tới các cổng có liên quan.
Trong thiết kế board Cool runner II, chuyển mạch Pmod đi vào cổng J8, Pmod PS2
đi vào cổng J7, và Pmod hiển thị Led 7 đoạn đi vào các cổng J5 và J6. Tuy nhiên, việc
thiết kế cũng có thể thay đổi khi sử dụng các cổng khác nhau.
CPLD thực hiện tốt cả một bộ đếm cũng như một bộ giải mã bàn phím PS2. Các
công tắc màn hình hiển thị dựa trên giá trị của SW4 còn SW1,2,3 không được sử dụng
trong thiết kế. BTN0 là thiết lập lại hệ thống cho việc thiết kế. Khi SW4 có bộ giải mã
PS2 được lựa chọn, đầu ra trên màn hình chính là mã quét cho tín hiệu đầu vào đó. Dưới
đây là một số mã quét, phần còn lại có thể được tìm thấy trên Internet
Bảng 1.1: Bảng mã quét của board CRII
Keyboard Key

Scan Code
F1
05
F2
06
F3
04
F4
0C
ều khiển vô tuyến.

Keyboard Key
F5
F6
F7
F8

Scan Code
03
0B
83
0A

1.2.
Đi

1.2.1. Tổng quan về sóng điện từ
Sóng điện từ là sự kết hợp của dao động điện trường và từ trường vuông góc với
nhau, lan truyền trong không gian.


Hình 1.4: Dạng sóng điện từ trong không gian
Để tạo ra sóng điện từ, người ta dùng mạch dao động cộng hưởng LC, nó được kết
nối bởi một cuộn dây và một tụ điện, khi mạch LC bị kích thích, trong cuộn dây sẽ xuất
hiện từ trường và trong tụ điện sẽ xuất hiện điện trường, khi vào trạng thái cộng hưởng, từ
trường trong cuộn dây L và điện trường trong tụ C sẽ kết hợp tạo ra d
ạng sóng điện


Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

từ trường. Bây giờ chỉ cần dùng dây anten cho sóng trong mạch LC phát vào không gian,
chúng ta đã có tia sóng dùng cho công việc điều khiển vô tuyến.
Sơ đồ khối bộ thu phát RF:

ư

Hình 1.5: Sơ đồ khối bộ thu phát RF
Bên phát: dùng mạch cộng hưởng LC tạo ra sóng mang có tần số ổn định dùng làm
sóng mang. Dùng mạch tạo ra tín hiệu mã lệnh và cho mã lệnh điều chế vào sóng mang
rồi cho phát vào không gian.
Bên thu: dùng mạch cộng hưởng LC làm bẩy sóng để bắt thu sóng điện từ có trong
không gian, nó đã được phát ra từ bên phát, cho giải mã để lấy ra tín hiệu mã lệnh có
trong sóng mang, dùng tín hiệu mã lệnh để đóng mở các thiết bị.
1.2.2. IC tạo mã và giải mã PT2262, PT2272
 IC tạo mã lệnh PT 2262
Sơ đồ chân:

Hình 1.6: Sơ đồ chân IC thu phát RF PT2262/2272

- Chân OSC1 và OSC2 dùng gắn điện trở R để định tần cho xung nhịp, dùng tạo ra
các dãy xung mã lệnh. Tần số xung nhịp phải lấy tương thích giữa bên phát và bên nhận.
- Các chân A0 - A5 dùng nhập mã địa chỉ, trên mỗi chân có thể có 3 trạng thái, cho
nối masse là bit 0, cho nối vào nguồn dương là bit 1 và bỏ trống là bit F.


Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

- Chân A6/D0 - A11/D5 có thể dùng như các chân địa chỉ từ A6 đến A11, nhưng
khi dùng như chân nhập dữ liệu Data thì chỉ xác lập theo mức 0 và mức 1, chỉ có 2 trạng
thái.
- Chân TE dùng cho xuất nhóm xung mã lệnh, nó có tác dụng ở mức áp thấp.
Nghĩa là khi chân này ở mức áp thấp, nó sẽ cho xuất ra xung mã lệnh trên chân Dout.
- Chân Dout, là chân ngã ra của nhóm tín hiệu mã lệnh, các tín hiệu mã lệnh đều ở
dạng xung, nghĩa là lúc ở mức áp thấp, lúc ở mức áp cao.
IC PT2262 trong mạch phát tín hiệu mã lệnh với 4 kênh điều khiển:

Hình 1.7: Sơ đồ mã hóa bên phát
- Các điện trở 10k dùng đặt các chân 10, 11, 12, 13 tương ứng với D0, D1, D2, D3
ở mức thấp.
- Dùng các điện trở 2.7k để cấp mức áp cao cho một trong các chân D0-D3, qua
các nút nhấn SW0-SW3.
- Dùng 4 diode 1N4148 để cách ly nguồn với các chân 10, 11, 12, 13.
- Điện trở 4.7M dùng để xác định tần số xung nhịp
- Chân 14 là chân TE nối với GND nên luôn cho phép xuất mã xung.
IC giải mã PT 2272
Khi xung mã lệnh phát ra từ IC PT2262, nhóm xung mã lệnh này sẽ được đưa vào
IC PT2272 để được giải mã và phát ra tín hiệu để điều khiển các thiết bị.

Sơ đồ khối bên trong IC PT 2272 như sau:



Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

Hình 1.8: Sơ đồ khối các khối chức năng trong IC PT2272
- Chân OSC1 và OSC2 dùng gắn điện trở để định tần cho xung nhịp, xung nhịp
này cần thiết cho hoạt động của ic.
- Các chân địa chỉ là A0 đến A5 và chân địa chỉ/dữ liệu là A6/D5 đến A11/D0,
trạng thái bit trên các chân này dùng xác lập mã lệnh dùng cho việc dò mã lệnh của bên
phát.
- Chân đầu vào là DIN, sau khi qua 2 tầng khuếch đại đảo, tín hiệu mã lệnh cho
vào mạch computer logic để dò mã lệnh, nếu mã lệnh của bên phát đúng với mã lệnh đã
xác lập trong ic, nó sẽ cho qua mạch Output Logic chờ xuất ra, khi mạch dò xung đồng bộ
Synchro Detect xác nhận tín hiệu vào là chính xác, nó sẽ cho xuất lệnh điều khiển trên
chân VT.
Chỉ khi mã lệnh của bên phát và bên thu được đặt giống nhau và tần số xung nhịp
phù hợp, lúc đó cặp IC này mới "hiểu nhau", có tác dụng dùng trong điều khiển, nếu
có khác nhau thì bên thu sẽ không nhận ra bên phát và sẽ không phát lệnh điều khiển VT
theo lệnh của bên phát.
1.2.3. Modul thu phát RF31-4CH
a. Modul phát RF

Hình 1.9: Modul phát RF


Báo cáo đồ án môn học


Chương I: Cơ sở lý thuyết

Hình trên là một loại modul phát RF, IC phát được sử dụng là IC PT2262. Modul
được chế tạo với 4 nút bấm A, B, C, D.
- Khi ít nhất 1 nút được bấm thì nó sẽ cho phát đi tín hiệu mã hóa nút bấm đó để cho
bên thu có thể so sánh và nhận tín hiệu.
- Đặc điểm:
+ Tần số hoạt động: 315Mhz
+ Kiểu điều chế : ASK
+ Antenna nội
+ Khoảng cách truyền < 20m (không vật cản)
+ Nguồn cung cấp: pin 12V 27A
b. Modul thu RF

Hình 1.10: Modul thi RF
Đặc điểm:
- Điện áp làm việc: 5V DC
- Kiểu điều chế: ASK
- Dòng tiêu thụ: 4mA
- Tần số hoạt động: 315Mhz
- Độ nhạy: -103 dBm, băng thông: 3dB +/-100Khz
Chức năng các chân:
PIN
Tên
Định nghĩa
1 GND
Đất (nối tới GND)
2 VCC
Chân cấp nguồn (nối tới VCC+)

3 DATA #1
Dữ liệu ngõ ra 1 (nối với chân 10 của IC 2272)
4 DATA #2
Dữ liệu ngõ ra 2 (nối với chân 11 của IC 2272)
5 DATA #3
Dữ liệu ngõ ra 3 (nối với chân 12 của IC 2272)
6 DATA #4
Dữ liệu ngõ ra 4 (nối với chân 13 của IC 2272)
7 TEST
Dùng cho mục đích kiểm tra (nối với chân 17 của IC 2272)
Bảng 1.11: Chức năng các chân của modul thu RF


Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

Chú ý: Khoảng cách thu được đo bằng LOS (line of sight) với sự can thiệp của một
radio nhỏ. Khoảng cách thực tế có thể khác nhau rất nhiều tùy thuộc vào việc cài đặt và
môi trường hoạt động. Đổi tần module có thể thay đổi khoảng cách, phụ thuộc vào
antenna thu khác nhau. Antenna thu bên ngoài có thể cần dùng để tối ưu hiệu suất hoạt
động.
1.3. Cảm biến siêu âm SRF05
Cảm biến SRF05 là một loại cảm biến khoảng cách dựa trên nguyên lý thu phát siêu
âm. Cảm biến gồm một bộ phát và một bộ thu sóng siêu âm. Sóng siêu âm từ đầu phát
truyền đi trong không khí, gặp vật cản (vật cần đo khoảng cách tới) sẽ phản xạ ngược trở
lại và được đầu thu ghi lại. Vận tốc truyền âm thanh trong không khí là một giá trị xác
định trước, ít thay đổi. Do đó nếu xác định được khoảng thời gian từ lúc phát sóng siêu
âm tới lúc nó phản xạ về đầu thu sẽ quy đổi được khoảng cách từ cảm biến tới vật thể.
Cảm biến SRF05 cho khoảng cách đo tối đa lên tới 3-4 mét.


Hình 1.12: Cảm biến siêu âm SRF05
SRF05 có thể thiết lập cách hoạt động thông qua các chân điều khiển MODE(OUT).
Nối hoặc không nối chân MODE xuống GND cho phép cảm biến được điều khiển thông
qua giao tiếp dùng 1 chân hay 2 chân IO.
 Cách 1: Tách riêng chân TRIGGER và ECHO (thường được sử dụng).
Module cảm biến SRF05 có hai chân TRIGGER và ECHO riêng biệt. Khi chân
MODE để trống (chân MODE có điện trở kéo lên VCC, khi để trống nó sẽ nhận mức điện
áp VCC) SRF05 sẽ sử dụng cả 2 chân chức năng TRIGGER và ECHO cho việc điều
khiển hoạt động của cảm biến. Có thể mô tả hoạt động của cảm biến bằng giản đồ dạng
xung như hình dưới:


Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

Hình 1.13: Dạng xung các chân ra của SRF05 mode 1
Từ hình vẽ mô tả trên ta thấy: để điều khiển SRF05 bằng cách 1 (sử dụng cả chân
trigger và echo) cần cấp cho chân TRIGGER một xung điều khiển với độ rộng tối thiểu
10uS. Sau đó một khoảng thời gian, đầu phát sóng siêu âm của cảm biến sẽ phát ra sóng 8
chu kỳ sóng siêu âm tần số 40Khz, vi xử lý tích hợp trên modun sẽ tự xác định thời điểm
phát sóng siêu âm và thu sóng siêu âm. Vi xử lý tích hợp này sẽ đưa kết quả thu được ra
chân ECHO. Độ rộng xung vuông tại chân ECHO tỉ lệ với khoảng cách từ cảm biến tới
vật thể.
 Cách 2: Sử dụng một chân cho cả TRIGGER và ECHO
Ở chế độ này, một chân của vi xử lý sẽ điều khiển quá trình phát xung của cảm biến
siêu âm và việc đọc tín hiệu trả về. Yêu cầu lúc đó chân MODE cần được nối đất (GND).
Đầu tiên xuất một xung với độ rộng tối thiểu 10uS vào chân TRIGGER-ECHO (chân số
3) của cảm biến. Sau đó vi xử lý tích hợp trên cảm biến sẽ phát ra tín hiệu điều khiển đầu

phát siêu âm. Sau 700uS kể từ lúc kết thúc tín hiệu điều khiển, từ chân TRIGGER-ECHO
có thể đọc ra một xung mà độ rộng tỉ lệ với khoảng cách từ cảm biến tới vật thể.
Có thể mô tả hoạt động của cảm biến ở chế độ 2 như hình dưới.

Hình 1.14: Dạng xung SRF05 mode 2


Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

 Tính toán khoảng cách.
Từ giản đồ xung mô tả hoạt động cả SRF05 ở các chế độ ta thấy, chỉ cần cung cấp
vào chân Trigger một xung có độ rộng tối thiểu 10uS để kích hoạt thì ở đầu phát của
SRF05 sẽ phát ra 8 chu kỳ sóng siêu âm (tần số 40KHz) và set chân Echo lên 1. Sau đó
cảm biến chờ sóng phản hồi, và ngay khi đầu thu của cảm biến phát hiện có sóng siêu âm
phản hồi về thì vi xử lý trên cảm biến sẽ đưa chân Echo xuống mức 0. Độ rộng của xung
vuông trên chân Echo tỷ lệ với khoảng cách tới đối tượng, bằng cách đo độ rộng của xung
này, ta hoàn toàn có thể xác định được khoảng cách tới đối tượng. Nếu không có sóng
phản hồi về đầu thu của cảm biến sau khoảng 30mS thì SRF05 sẽ giảm thấp mức ra trên
chân Echo xuống thấp hơn so với ban đầu.
Ta có công thức liên hệ giữa khoảng cách, vận tốc và thời gian là: S=v.t.
Như ta biết, sóng siêu âm lan truyền trong không khí với tốc độ khoảng 343m/s và
do sóng siêu âm phát ra từ cảm biến tới vật sau đó phản hồi về cảm biến nên quãng đường
di chuyển của sóng siêu âm bằng 2 lần khoảng cách tới vật.
Nếu độ rộng xung trên chân Echo đo được là: t (uS) , thì ta có công thức tính khoảng
cách được tính là:
Khoảng cách = 343*100* .t / (2*10^6) = t / 58 (cm).
SRF05 có thể kích hoạt nhanh chóng sau 50mS (20 lần/s). Bạn nên chờ 50ms cho
lần kích hoạt kế tiếp để tránh sai số phản hồi.

1.4. Mạch cầu H
1.4.1. Khái niệm về mạch cầu H
Trong thực tế khi ta có một động cơ DC có 2 đầu A và B, nối 2 đầu dây này với một
nguồn điện DC (ắc qui điện – battery), hệ quả tất yếu là nếu nối A với cực (+), B với cực
(-) mà động cơ chạy theo chiều thuận (kim đồng hồ) thì khi đảo cực đấu dây (A với (-), B
với (+)) thì động cơ sẽ đảo chiều quay. Đây là một cách “thủ công” để đảo chiều động cơ,
với các bài toán thực tế, sẽ có lúc chúng ta gặp những bài toán bắt buộc sử dụng đến việc
đảo chiều động cơ này với tốc độ cao, thời gian quá độ thấp và độ chính xác cao. Lẽ dĩ
nhiên chúng ta cần có một mạch điện có khả năng tự động thực hiện việc đảo chiều này
và xuất phát từ yêu cầu đó, mạch cầu H ra đời.
Vậy mạch cầu H là một mạch điện giúp đảo chiều dòng điện qua một đối tượng. Tuy
nhiên, chức năng của mạch cầu H không chỉ dừng lại ở đó. Phần sau sẽ nói rõ hơn về các
chức năng của mạch cầu H. Tiếp tục với vấn đề mạch cầu H, chúng ta gọi là mạch cầu H
đơn giản bởi hình dạng của chúng. Hình vẽ sau mô tả cấu trúc một mạch cầu H đơn giản:


Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

Hình 1.15: Mô hình mạch cầu H đơn giản
Trong hình 3, hãy xem 2 đầu V và GND là 2 đầu (+) và (-) của ắc qui, “đối tượng”
là động cơ DC mà chúng ta cần điều khiển, “đối tượng” này có 2 đầu A và B, mục đích
điều khiển là cho phép dòng điện qua “đối tượng” theo chiều A đến B hoặc B đến A.
Thành phần chính tạo nên mạch cầu H của chúng ta chính là 4 “khóa” L1, L2, R1 và R2
(L: Left, R:Right). Ở điều kiện bình thường 4 khóa này “mở”, mạch cầu H không hoạt
động. Tiếp theo chúng ta sẽ khảo sát hoạt động của mạch cầu H thông qua các hình minh
họa sau:

Hình 1.16: Điều khiển mạch cầu H

Với mục đích cho dòng điện đi qua “đối tượng” có thể đảo chiều ( A đến B và B đến
A), ta thấy khi 2 khóa L1 và R2 được “đóng lại” (L2 và R1 vẫn mở), tại trường hợp này
sẽ có một dòng điện chạy từ V qua khóa L1 đến đầu A và xuyên qua đối tượng đến đầu B
của nó trước khi qua khóa R2 và về GND (như hình 2a). Như thế, trong trường hợp này
sẽ có dòng điện chạy qua đối tượng theo chiều từ A đến B. Bây giờ hãy giả sử khác đi
rằng R1 và L2 đóng trong khi L1 và R2 mở, dòng điện lại xuất hiện và lần này nó sẽ chạy
qua đối tượng theo chiều từ B đến A như trong hình 2b (V->R1->B->A->L2->GND). Như
vậy, bằng cách đóng mở các khoá L1, R1, L2, R2 từng cặp một, chúng ta có thể dùng
mạch cầu H để đảo chiều dòng điện qua một “đối tượng” (hay cụ thể, đảo chiều quay
động cơ).


Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

Một trường hợp thứ 3 xảy ra khi đóng đồng thời 2 khóa ở cùng một bên (L1 và L2
hoặc R1 và R2) hoặc thậm chí đóng cả 4 khóa, khi đó chúng ta có hiện tượng “ngắn
mạch”, V và GND gần như nối trực tiếp với nhau dẫn đến ắc qui sẽ bị hỏng hoặc nguy
hiểm hơn là cháy nổ mạch xảy ra. Đây là trường hợp không mong muốn trong quá trình
sử dụng mạch cầu H. Để tránh việc này xảy ra, người ta thường dùng thêm các mạch
logic để kích cầu H.
Trường hợp cuối cùng là 2 trường hợp các khóa ở phần dưới hoặc phần trên cùng
đóng (ví dụ L1 và R1 cùng đóng, L2 và R2 cùng mở). Với trường hợp này, cả 2 đầu A, B
của “đối tượng” cùng nối với một mức điện áp và sẽ không có dòng điện nào chạy qua,
mạch cầu H không hoạt động. Đây có thể coi là một cách “thắng” động cơ (tuy nhiên
không phải lúc nào cũng có tác dụng). Nói chung, đây cũng là trường hợp nên tránh, nếu
muốn mạch cầu không hoạt động thì nên mở tất cả các khóa thay vì dùng trường hợp này.
Trên đây chỉ là cơ cấu làm việc cơ bản của mô hình mạch cầu H, trên thực tế mạch
cầu H có thiết kế phức tạp hơn rất nhiều với các cơ cấu kích cầu và bảo vệ.

Như đã trình bày trong phần trước, thành phần chính của mạch cầu H chính là các
“khóa”, việc chọn linh kiện để làm các khóa này phụ thuộc vào mục đích sử dụng mạch
cầu, loại đối tượng cần điều khiển, công suất tiêu thụ của đối tượng và cả hiểu biết, điều
kiện của người thiết kế. Nhìn chung, các khóa của mạch cầu H thường được chế tạo bằng
Relay, BJT (Bipolar Junction Transistor) hay MOSFET (Metal Oxide Semiconductor
Field-Effect Transistor). Từ đó, các loại mạch cầu H về cơ bản cũng được phân loại dựa
trên phương thức cấu tạo của chúng.
Trong bài tập, nhóm sử dụng IC L-293D, đây là IC mạch cầu H sử dụng các khoá là
Transistor. Trong phần Xây Dựng Phần Cứng ở phía sau, báo cáo sẽ nói rõ thêm về IC
này. Bây giờ, báo cáo sẽ đi sâu vào phân tích mạch cầu H sử dụng các khoá Transistor.
1.4.2. IC cầu H L293D.
IC cầu H thực hiện chức năng như một cầu H có 4 khóa, mà các khóa này là các
khóa điều khiển bằng điện, có cấu tạo là các chuy ển mạch bằng transistor MOSFET.

Hình 1.17: IC cầu H L293D
Trong IC này, có 2 đầu vào điện áp là:
 VCC1: 4.5 – 7V


Báo cáo đồ án môn học

Chương I: Cơ sở lý thuyết

 VCC2: VCC1 - 36V
Trong đó VCC1 là điện áp cung cấp cho IC hoạt động, VCC2 là điện áp đưa ra các
đầu ra động cơ. Nếu VCC2 có giá trị càng lớn thì động cơ quay càng mạnh.


Báo cáo đồ án môn học


Chương II: Quá trình thiết kế

CHƯƠNG II: QUÁ TRÌNH THIẾT KẾ
2.1. Sơ đồ khối hệ thống
Với những kiến thức đã có được sau khi nghiên cứu đề bài, đồng thời dựa trên định
hướng thực hiện bài tập, nhóm đã thảo luận và xây dựng sơ đồ hệ thống ô tô gồm các khối
thành phần như hình sau:

Hình 2.1: Sơ đồ khối hệ thống
Trong sơ đồ trên, hai khối nguồn là nguồn pin cung cấp năng lượng cho các thiết bị
trên ô tô. Trong đó, Nguồn 1 cung cấp năng lượng cho: Kit CPLD (CoolRunner II), VĐK
Arduino, các cảm biến siêu âm và bộ thu sóng RF; Nguồn 2 cung cấp năng lượng cho:
mạch cầu H và các mô tơ. Sử dụng 2 nguồn riêng biệt nhằm cung cấp nguồn ổn định cho
các mô tơ.
Trong thiết kế, nhóm sử dụng thêm VĐK Arduino do yếu tố chủ yếu dưới đây:
 Nhóm đã cố gắng để lập trình VHDL cho cảm biến siêu âm SRF05 đo khoảng
cách trên kit CoolRunner II nhưng do mới làm quen với kit nên sau một thời
gian lập trình không thành phần đo khoảng cách với SRF05 nên nhóm chuyển
hướng là dùng Arduino để đo khoảng cách, và nếu khoảng cách đo được nhỏ
hơn 20cm thì suất ra một bit trên chân I/O, chân này sẽ kết nối với CPLD để kit
số xét và đưa ra lệnh sử lý thích hợp.
 Cái khó để lập trình VHDL cho SRF05 đo khoảng cách là, sau khi kích cho
SRF05 hoạt động bằng một xung độ rộng 10us thì chân Echo (chân cho độ rộng
xung tỷ lệ với khoảng cách ) của SRF05 tự động được đưa lên 1, do phần bộ
đếm trong CPLD thiết kế chưa được tốt hoặc là chưa xét được chân Echo lên 1
khi nào nên kết quả đo được chưa chính xác.


Báo cáo đồ án môn học


Chương II: Quá trình thiết kế

2.2. Thiết kế phần cứng
2.2.1. Thiết kế phần khung xe
Trên cơ sở sơ đồ khối hệ thống, nhóm đã thực hiện thiết kế được phần cứng của ô tô
như hình sau:

Hình 2.2: Thiết kế phần cứng
Trong thiết kế này, 3 cảm biến siêu âm được bố trí cố định theo 3 hướng : trước, trái
và phải.
Phần sàn ô tô gồm 3 tầng, trong đó, tầng dưới cùng để đặt pin, tầng thứ 2 đặt kit
CoolRunner II, tầng trên cùng đặt bo mạch kết nối các module con trong hệ thống.
IC cầu H, L293D, được bố trí trên một bo mạch cùng với VĐK Arduino. Cầu H
được kết nối tới 2 mô tơ trái và phải, mỗi mô tơ thực hiện điều khiển 2 bánh: mô tơ trái
điều khiển hai bánh bên trái của ô tô, mô tơ phải thực hiện điều khiển hai bánh phải. Với
thiết kế phần cứng như trên, thì chỉ cần điều khiển mô tơ trái và phải là có thể điều khiển
được ô tô đi một hướng bất kỳ nào đó.
Với mạch điều khiển RF, nhóm đã thiết kế một bảng điều khiển với 4 nút để dễ dàng
cho việc điều khiển, hơn là dùng ngay bộ điều khiển từ module mua sẵn sẽ khó bấm.
2.2.2. Thiết kế bộ chuyển đổi điện áp 3.3V
Tín hiệu mã hóa từ các chân của arduino có mức logic cao là 5V, vì v ậy, đ ể giao
tiếp được với kit Cool Runner II ta phải có mạch phân áp để chuyển mức logic cao
của TTL là 5V sang mức logic cao của CMOS là 3.3V. Có nhi ều phương pháp đ ể
chuyển đổi như dùng điện trở để phân áp, dùng IC chuẩn hoặc dùng diode zenner.
Trong mạch này nhóm sử dụng phương pháp là dùng diode zenner với UD = 3.3V để
phân áp qua một điện trở 330 Ohm nối với 5V. Tín hiệu sẽ được lấy ra tại đi ểm
giữa của điện trở 330 Ohm và Cathode của zenner như trong hình dưới đây:


Báo cáo đồ án môn học


Chương II: Quá trình thiết kế

1
2
3
4
5

OUT_3v3

IN_JP3V3
5
4
3
2
1
JP5

330

JP5

D3V3_1

GND

Hình 2.3: Mạch phân áp 3.3V
2.3. Thiết kế phần mềm
Sơ đồ các đường tín hiệu kết nối trong hệ thống ô tô được thể hiện như hình dưới.


Hình 2.4: Sơ đồ kết nối toàn hệ thống
Trong đó phần xử lý trong Arduino bao gồm: lập trình đo khoảng cách cho 3 cảm
biến siêu âm, xét các tín hiệu ở bộ thu RF, sau đó mã hóa các bit khoảng cách và các
trạng thái của bộ thu RF thành 5 đường bit trên 5 chân I/O.
Kit CoolRunner II kết nối với Arduino qua 5 đường, được thể hiện như sơ đồ
sau:


Báo cáo đồ án môn học

Chương II: Quá trình thiết kế

Phần lập trình cho CoolRunner bao gồm hai phần chính:
+ Phần thứ nhất là chế độ điều khiển ô tô bằng RF đi theo các hướng: tiến, lùi, quay
trái, quay phải, tiến chếch trái, tiến chếch phải và phát hiện vật cản phía trước mặt để
tránh va chạm vào vật cản. Thuật toán xử lý được thể hiện qua sơ đồ sau:

Hình 2.5: Lưu đồ thuật toán hệ thống
Như trên sơ đồ ta có thể thấy, tất các các dữ liệu đo được từ cảm biến siêu âm ở cả 3
hướng sẽ được vi điều khiển arduino xử lý, sau đó dữ liệu sẽ được mã hóa ra dưới dạng 5
bit để chuyển đến kit CRII, kit CRII sẽ nhận được mã và xuất các tín hiệu điều khiển ô tô
đi đúng hướng.
+ Phần thứ hai là phần ô tô ở chế độ tự động ô tô được kích hoạt chế độ tự động từ
RF, khi gặp vật cản sẽ xét khoảng cách 3 hướng và quyết định hướng nào thoáng nhất để
di chuyển


Báo cáo đồ án môn học


Kết luận

Kết luận
1. Kết quả đạt được.
Sau quá trình lỗ lực để hoàn thiện bài tập, nhóm đã đạt được các kết quả tốt như sau:
 Nhóm đã thiết kế thành công ô tô thực hiện đầy đủ các chức năng mà đề bài đặt ra.
 Biết được một hệ thống số là như thế nào, và đã thiết kế được một hệ thống số đơn
giản.s
 Hiểu biết về điều khiển vô tuyến và lĩnh vực điều khiển tự động
2. Những tồn tại và hướng phát triển của đề tài.
 Những tồn tại



Module RF chỉ điều khiển 1 chiều và khoảng cách không quá xa
Chưa lập trình VHDL cho SRF05 đo khoảng cách nên vẫn phải dùng thêm
một vi điều khiển để xử lý.

 Biện pháp khắc phục và hướng phát triển


Sử dụng module thu phát sóng RF có khoảng cách xa hơn, và có thể thu
phát sóng hai chiều để truyền thông tin qua lại.



Sử dụng thêm cảm biến gia tốc ở bộ điều khiển.




Tiếp tục phân tích để lập trình VHDL đo khoảng cách với SRF05.



×