Tải bản đầy đủ (.pdf) (64 trang)

Giáo trình Điều chế biên độ xung (Pulse amplitude modualation) - Điều chế tương tự cho tín hiệu số

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (1.95 MB, 64 trang )

MỤC LỤC

1. GIỚI THIỆU CHUNG.................................................................................................2
2. ĐIỀU CHẾ TƯƠNG TỰ CHO TÍN HIỆU SỐ ASK, FSK, PSK, QPSK, QAM
MODULATION-DEMOLATION..................................................................................... 5
2.1. Thiết bị sử dụng..................................................................................................5
2.2. Lý thuyết............................................................................................................. 5
2.2.1 Các phương pháp điều chế tương tự cho tín hiệu số .................................. 5
2.2.1.1. Phương pháp điều chế - Giải điều chế biên độ ASK (Amplitude Shift
Keying)................................................................................................................5
2.2.1.2. Phương pháp điều chế - Giải điều chế biên độ FSK (Frequency Shift
Keying)................................................................................................................6
2.2.1.3. Phương pháp điều chế - Giải điều chế pha PSK (Phase Shift Keying) 8
2.2.1.4. Phương pháp điều chế - Giải điều chế hỗn hợp pha và biên độ QAM
(Quadrature Amplitude Modulation)................................................................. 12
2.2.1.5. Phương pháp điều chế - Giải điều chế pha vi phân DPSK (Differential
Phase Shift Keying)..........................................................................................14
2.2.1.6. Mã truyền và tái lập tín hiệu nhịp........................................................ 16
2.2.2 Sơ đồ thí nghiệm........................................................................................17
2.2.2.1. Bộ điều chế ASK, FSK, PSK, QPSK, QAM.........................................17
2.2.2.2. Bộ Giải điều chế ASK, FSK, PSK, QPSK........................................... 24
2.3. Cấp nguồn và nối dây.......................................................................................28
2.4. Các bài thực hành............................................................................................ 29
2.4.1 Khảo sát các phần chức năng....................................................................29
2.4.1.1. Máy phát nhịp tài liệu (Data Sequence Generator) .............................29
2.4.1.2. Các sơ đồ tạo mã tài liệu.................................................................... 29
2.4.1.3. Bộ hình thành sóng mang (carrier generator).....................................32
2.4.1.4. Bộ tái lập tín hiệu nhịp và giải mã tài liệu (DECODER & CLOCK
RECOVERY).................................................................................................... 33
2.4.2 Điều chế & giải điều chế tương tự cho tín hiệu số .................................... 35
2.4.2.1. Điều chế & giải điều chế ASK............................................................. 35


2.4.2.2. Điều chế & giải điều chế FSK..............................................................41
2.4.2.3. Điều chế và giải điều chế BPSK......................................................... 45
2.4.2.4. Điều chế & giải điều chế QPSK (hình 2-34)........................................49
2.4.2.5. Điều chế và giải điều chế D-QPSK (Hình 2-35)..................................50
2.4.2.6. Điều chế QAM (hình 2-36).................................................................. 54

PULSE AMPLITUDE MODULATION (PAM)

1


HỆ THỰC HÀNH VỀ ĐIỆN TỬ THƠNG TIN
1.

GIỚI THIỆU CHUNG

Hệ  thống thiết bị  thực hành điện tử  viễn thơng chun đề  bao gồm các khối thí 
nghiệm, thiết kế theo khối chuẩn (Module), và thiết bị chính TCPS­900.
Các khối thí nghiệm chức năng cho phép tiến hành các bài thực hành về điện tử thơng 
tin chun đề về cơ sở kỹ thuật truyền thơng tin (xem mục lục đã liệt kê ở trên).
Các khối thí nghiệm sử dụng chốt 2 ly để kết nối nguồn và tín hiệu. Trên bảng mặt 
khối có biểu thị  trực quan sơ  đồ  ngun lý và các chốt thử  (Test Point) để  kiểm tra tín 
hiệu.
Thiết bị chính TCPS­900 (Hình 1) dùng cho 1 bàn thí nghiệm, bao gồm:
Khung gá có kích thước 1.200 x 750 mm chứa 2 tầng với rãnh trượt để  gắn các 
module 297 mm x aM (M = 100mm, a = 1; 2; 3; 4)
Nguồn chuẩn TCPS­900, cung cấp thế chuẩn:
­ Nguồn 1 chiều (DC) +12V/2A, ­12V/0.75A, +5V/2A, ­5V/0.75A. Sử dụng để 
ni các Module thí nghiệm.
­ Nguồn AC 220V với cơng tắc tự  động, dùng để  cấp điện cho các thiết bị  khác 

như dao động ký, máy phát,...
Phân loại tổng qt các phương pháp điều chế  ­ giải điều chế  phục vụ  cho việc  
truyền thơng tin được giới thiệu trong Bảng 1.
Truyền các tín hiệu tương tự (lời nói, nhạc,...) theo phương pháp điều biên, điều tần 
và điều pha được thực hiện trong phần thực tập điện tử thơng tin cơ sở.
Các phương pháp cơ  bản điều chế  tín hiệu tương tự  hoặc số cịn lại trong Bảng 1 
được thực hiện trong phần thực hành điện tử thơng tin chun đề.

PULSE AMPLITUDE MODULATION (PAM)

2


Bảng 1

PULSE AMPLITUDE MODULATION (PAM)

3


Hình 1. Thiết bị chính TCPS­900

PULSE AMPLITUDE MODULATION (PAM)

4


2. ĐIỀU   CHẾ   TƯƠNG   TỰ   CHO   TÍN   HIỆU   SỐ   ASK,   FSK,   PSK,   QPSK,   QAM  
MODULATION­DEMOLATION.
2.1. Thiết bị sử dụng

1. Khung chính cho thực tập điện tử viễn thơng cơ bản TCPS­900.
2. Các khối thí nghiệm TC­946M, TC­946D cho bài thực tập về điều chế tương tự 
cho tín hiệu số (Hình 2­17 & 2­23).
3. Dao động ký 2 tia.
4. Phụ tùng: dây có chốt cắm hai đầu.
2.2. Lý thuyết
2.2.1

Các phương pháp điều chế tương tự cho tín hiệu số

2.2.1.1. Phương pháp điều chế ­ Giải điều chế biên độ ASK (Amplitude Shift Keying)
Phương pháp điều chế  ASK (Hình 2­1) cho phép tạo tín hiệu ASK dạng sin với hai 
biên độ. Biên độ tín hiệu ASK tuỳ thuộc giá trị bit tài liệu:
­ Khi Data bit = 1 sẽ điều khiển khố K đóng (Hình 2­1a), sóng ASK nhận được ở 
lối ra chính là sóng mang truyền qua, có biên độ bằng biên độ sóng mang (Hình 2­
1b).
­ Khi Data bit = 0 sẽ  điều khiển khố K ngắt, sóng mang khơng truyền qua khố. 
Tín hiệu ASK có biên độ = 0.

Hình 2­1. Phương pháp điều chế ASK
Giải điều chế ASK điều chế thực hiện theo các sơ đồ trên Hình 2­2.
Ở  sơ  đồ  kiểu 2­2a, bộ  thu nhận có tái lập sóng mang (Carrier Regenerator) và nhân 
sóng này với tín hiệu thu. Bộ lọc thơng thấp và sơ  đồ ngưỡng cho phép tái lập tài liệu số 
được truyền.
Sơ  đồ  2­2b đơn giản hơn, thường được sử  dụng trong thực tế. Tín hiệu được tách  
sóng trực tiếp, sau đó lọc thơng thấp và hình thành.
Phương pháp ASK có sơ đồ rất đơn giản, được sử dụng chủ yếu trong kỹ thuật điện 
báo.
Hệ thống có các đặc trưng sau:


PULSE AMPLITUDE MODULATION (PAM)

5


­ Phổ cực tiểu của tín hiệu điều chế (FW) cao hơn tốc độ truyền bit (Fb).
­ Hiệu suất truyền nhỏ hơn 1 (xác định bằng (Fb/ FW)<1).
­ “Tốc độ Baud” được định nghĩa như tốc độ điều chế bằng tốc độ truyền Fb.

Hình 2­2. Phương pháp giải điều chế ASK
2.2.1.2. Phương pháp điều chế ­ Giải điều chế biên độ FSK (Frequency Shift Keying)
Phương pháp điều chế  FSK (Hình 2­3) cho phép tạo tín hiệu FSK dạng sin với hai 
tần số. Giá trị tần số của tín hiệu ASK tuỳ thuộc giá trị bit tài liệu. Ví dụ sử dụng kiểu sơ 
đồ 2­3a:
­ Khi Data bit = 1, điều khiển khố K  ở  vị  trí nối sóng mang tần số  F1 với lối ra 
FSK.
­ Khi Data bit = 0, điều khiển khố K  ở  vị  trí nối sóng mang tần số  F2 với lối ra 
FSK.
­ Giản đồ tín hiệu FSK cho trên hình 2­3D.

PULSE AMPLITUDE MODULATION (PAM)

6


Hình 2­3. Phương pháp điều chế FSK
Ở  sơ  đồ  điều chế  FSK  kiểu 2­3b, sử  dụng máy phát điều khiển bằng thế  VCO 
(Voltage Control Oscillator).  Ứng dụng trạng thái “0” hoặc “1” của tài liệu, VCO sẽ phát 
hai tần số F1 và F2 tương ứng.
Trên hình 2­3c là sơ đồ điều chế sử dụng các bộ chia với các hệ số chia khác nhau: N  

và: M. Data bit sử dụng để điều khiển chọn hệ số chia. Ví dụ, khi Data bit = 1, bộ chia có 
hệ số chia N, tạo chuỗi xung ra có tần số  F1 = f Clock /N. cịn khi Data bit = 0, bộ chia có 
hệ số chia M, tạo chuỗi xung ra có tần số F2 = f Clock /M.
Giải điều chế  FSK  có thể  thực hiện trên cơ  sở  Hình 2­4. Tín hiệu  FSK  chứa hai 
thành phần tần số được giải điều chế bằng sơ đồ vịng giữ pha (PLL).

Hình 2­4. Phương pháp giải điều chế FSK
Phương pháp FSK có sơ đồ phức tạp hơn ASK, được sử dụng chủ yếu trong modem 
truyền số  liệu (kiểu CCITT V21, CCITT V23, BELL 103, BELL 113, BELL 202 ) và 
trong kỹ thuật radio số.
­ Sai số ít hơn phương pháp ASK.
­ Phổ cực tiểu của tín hiệu điều chế (FW) cao hơn tốc độ truyền bit (Fb).
­ Hiệu suất truyền nhỏ hơn 1 (xác định bằng (Fb/ FW)<1).
­ “Tốc độ Baud” được định nghĩa như tốc độ điều chế bằng tốc độ truyền Fb.

PULSE AMPLITUDE MODULATION (PAM)

7


2.2.1.3. Phương pháp điều chế ­ Giải điều chế pha PSK (Phase Shift Keying)
a. Điều chế ­ Giải điều chế pha kiểu 2­PSK (BPSK)
Phương pháp điều chế  2­PSK  hay  BPSK  (Binary PSK) hay điều chế  ngược pha 
PRK (Phase Reversal Keying) được giới thiệu trên Hình 2­5. Sơ đồ  tạo tín hiệu BPSK 
dạng sin với hai giá trị pha tuỳ thuộc giá trị bit tài liệu:
­ Khi Data bit = 1, điều khiển sơ đồ cho sóng BPSK cùng pha với sóng mang.
­ Khi  Data bit = 0, điều khiển sơ  đồ  cho sóng  BPSK  ngược pha (1800) với sóng 
mang.
Sơ đồ điều chế thường được thực hiện trên bộ nhân sóng mang với Data bit. Giản đồ 
tín hiệu và giản đồ poha BPSK cho trên Hình 2­5.


Hình 2­5. Phương pháp điều chế PSK
Giải điều chế  BPSK có thể  thực hiện trên sơ  đồ  Hình 2­6. Sơ  đồ  gồm bộ  tái lập 
sóng mang và bộ nhân.

Hình 2­6. Phương pháp giải điều chế PSK.
Bộ tái lập sóng mang bao gồm:
­

Sơ đồ lấy bình phương ( )2 để chuyển các tín hiệu khác pha về cùng 1 pha.

­

Vịng giữa pha PLL phát lại nhịp với tần số gấp đơi tần số mang

­

Bộ dịch pha 

­

Bộ chia hai để đưa tần số tín hiệu tái lập về bằng tần số sóng mang.

 để hiệu chỉnh pha.

Bộ nhân tín hiệu thực hiện nhân sóng điều chế BPSK với sóng mang tái lập.

PULSE AMPLITUDE MODULATION (PAM)

8



Giả sử tần số sóng mang là fC, 
­

 = 2 fC, ta có hai trường hợp:

C

Khi  tín  hiệu  BPSK  là  +sin( Ct)  ứng với  Data bit = 1, sóng mang   tái  lập là 
sin( Ct), sơ  đồ  nhân sẽ  cho tín hiệu  sin( Ct) sin( Ct) = sin2( Ct) = ½(1­cos(2 
Ct) = ½ ­ ½cos(2 Ct).

Trong biểu thức trên thành phần thứ hai là xoay chiều, có tần số gấp đơi tần số sóng 
mang. Khi sử dụng bộ lọc thơng thấp với tần số cắt bằng tần số sóng mang, có thể  khử 
bỏ thành phần xoay chiều và thế dương của thành phần 1 chiều thứ nhất được giữ lại sẽ 
biểu diễn trạng thái “1” của Data bit.
­

Khi tín hiệu BPSK là ­sin(

C

t) = ­sin2(

C

­sin(

t) sin(


C

C

t) ứng với Data bit =1, sơ đồ nhân sẽ cho tín hiệu :

t) = ­½(1­cos(2 

t) = ­½ + ½cos(2

C

t).

C

Trong biểu thức trên thành phần thứ hai là xoay chiều, có tần số gấp đơi tần số sóng 
mang. Khi sử dụng bộ lọc thơng thấp với tần số cắt bằng tần số sóng mang, có thể  khử 
bỏ thành phần xoay chiều và thế âm của thành phần 1 chiều thứ nhất được giữ lại sẽ biểu 
diễn trạng thái “0” của Data bit.
Sơ  đồ  điều chế  PSK  có độ  phức tạp trung bình, được sử  dụng chủ  yếu trong kỹ 
thuật radio số. Hệ thống có các đặc trưng sau:
­

Sai số ít hơn phương pháp FSK.

­

Phổ cực tiểu của tín hiệu điều chế (FW) bằng tốc độ truyền bit (Fb).


­

Hiệu suất truyền = 1 (xác định bằng (Fb/ FW) = 1).

­

“Tốc độ Baud” được định nghĩa như tốc độ điều chế bằng tốc độ truyền Fb.

b. Điều chế ­ Giải điều chế pha kiểu 4­PSK (QPSK)
Phương pháp điều chế  4­PSK  hay  QPSK  (Quadrature PSK) được giới thiệu trên 
Hình 2­7. Sơ đồ tạo tín hiệu QPSK dạng sin với bốn giá trị pha, xác định bởi tổ hợp (cặp)  
2 bit liền nhau của tài liệu nhị phân. Tổ hợp 2 bit liền nhau này được gọi là Dibit có độ dài 
2 khoảng bit.
Tài liệu nhị  phân trước khi đưa vào sơ  đồ  điều chế  được tạo mã Dibit nhờ  trigger  
đếm đơi đơn giản. Mã Dibit được biểu thị bằng tín hiệu I và Q:
­ Tín hiệu tài liệu I (cùng pha – In Phase) gồm các mức thế   ứng với giá trị  bit đầu  
của cặp bit khảo sát.
­ Tín hiệu tài liệu Q (bậc 2 – Quadrature) gồm các mức thế ứng với giá trị bit thứ hai  
của cặp bit khảo sát.

PULSE AMPLITUDE MODULATION (PAM)

9


Hình 2­7. Phương pháp điều chế QPSK
Các tín hiệu  I  và  Q  được nhân với các sóng mang lệch pha nhau 900  (gọi là   0  = 
sin( Ct) và  90 = cos( Ct) ). Kết quả  là khi lấy tổng tín hiệu  ở  cả  hai nhánh của sơ đồ 
Hình 2­7, sẽ nhận được các tín hiệu  0 + 90; ­ 0 + 90;  0 ­ 90; ­ 0 ­ 90.

Bộ  điều chế  QPSK như  vậy được xây dựng trên hai bộ  BPSK, tạo ra hai tín hiệu 
BPSKI và BPSKQ cho bộ lấy tổng để hình thành tín hiệu 4 pha. Giản đồ pha và dạng sóng 
QPSK cho trên Hình 2­7. Trên đồ thị cho thấy với 4 giá trị của  Dibit (I­Q), tín hiệu QPSK 
có 4 pha khác nhau.
Giải điều chế  QPSK có thể  thực hiện trên sơ  đồ  Hình 2­8. Sơ  đồ  gồm bộ  tái lập 
sóng mang và các bộ  nhân để  tái lập Dibit. Mã Dibit sau đó được giải mã để  chuyển về 
trạng thái tài liệu thơng thường.

Hình 2­8. Phương pháp giải điều chế QPSK
Bộ tái lập sóng mang (hình 2­9) bao gồm:

PULSE AMPLITUDE MODULATION (PAM)

10


Hình 2­9. Sơ đồ tái lập sóng mang cho giải điều chế QPSK
­

Hai sơ đồ lấy bình phương ( )2 để chuyển các tín hiệu khác pha về cùng 1 pha.

­

Vịng giữ pha PLL phát lại nhịp với tần số gấp bốn tần số mang.

­

Bộ dịch pha 

­


Bộ chia hai chung để đưa tần số tín hiệu tái lập cịn gấp đơi tần số sóng mang.

­

Hai bộ  chia hai với nhịp điều khiển đếm lệch pha 900 (lấy từ  Q đến  Q   của bộ 
chia 2 chung) để tạo các sóng mang tái lập lệch pha nhau 900.

 để hiệu chỉnh pha.

Hai bộ nhân tín hiệu thực hiện nhân sóng điều chế  QPSK với các sóng mang tái lập 
lệch pha. Tín hiệu giải điều chế được lọc thơng thấp để tái lập tín hiệu Dibit. Qua sơ đồ 
trigger, chuyển mã Dibit về mã tài liệu nhị phân thơng thường.
Sơ  đồ  điều chế  QPSK  có độ  phức tạp cao, được sử  dụng chủ  yếu trong modem 
truyền số liệu (kiểu CCITT V22, CCITT V26, BELL 201) và trong kỹ thuật radio số.
Hệ thống có các đặc trưng sau:
­

Sai số ít hơn phương pháp FSK.

­

Phổ cực tiểu của tín hiệu điều chế (FW) bằng nửa tốc độ truyền bit (=Fb/2).

­

Hiệu suất truyền = 2 (xác định bằng (Fb/ FW) = 2).

­


“Tốc độ  Baud” được định nghĩa như  tốc độ  điều chế  bằng nửa tốc độ  truyền 
(=Fb/2).

c. Điều chế ­ Giải điều chế pha kiểu 8­PSK
Phương pháp điều chế 8­PSK tạo tín hiệu dạng sin với tám giá trị pha lệch nhau 450, 
xác định bởi tổ hợp 3 bit liền nhau của tài liệu nhị phân. Tổ hợp 3 bit liền nhau này được  
gọi là Tribit.
Tài liệu nhị  phân trước khi đưa vào sơ  đồ  điều chế  được tạo mã Tribit nhờ  các  
trigger đếm đơi đơn giản. Mã Tribit được biểu thị bằng tín hiệu I, Q và C.
Trên sơ  đồ  Hình 2­10 là giản đồ  “chịm sao” tài liệu được mã  ở  dạng tribit tương 
ứng với các pha tín hiệu lệch so với nhau 450.
Sơ  đồ  điều chế  8­PSK  có độ  phức tạp cao, được sử  dụng chủ  yếu trong modem  
truyền số liệu (kiểu CCITT V27, BELL 208) và trong kỹ thuật radio số.
Hệ thống có các đặc trưng sau:
­

Sai số ít hơn phương pháp QPSK.

­

Phổ cực tiểu của tín hiệu điều chế (FW) bằng 1/3 tốc độ truyền bit (=Fb/3).

PULSE AMPLITUDE MODULATION (PAM)

11


­

Hiệu suất truyền = 3 (xác định bằng (Fb/ FW) = 3).


­

“Tốc độ  Baud” được định nghĩa như  tốc độ  điều chế  bằng 1/3 tốc độ  truyền  
(=Fb/3).

Hình 2­10. Giản đồ “chịm sao cho điều chế 8­PSK và 16­PSK
d. Điều chế ­ Giải điều chế pha kiểu 16­PSK
Phương pháp điều chế  16­PSK tạo tín hiệu dạng sin với tám giá trị  pha lệch nhau 
22.50, xác định bởi tổ hợp 4 bit liền nhau của tài liệu nhị phân. Tổ hợp 4 bit liền nhau này  
được gọi là Quadbit.
Tài liệu nhị  phân trước khi đưa vào sơ  đồ  điều chế  được tạo mã Quadbit nhờ  các 
trigger đếm đơi đơn giản. 
Trên sơ đồ Hình 2­10 là giản đồ “chịm sao” tài liệu được mã ở dạng Quadbit tương 
ứng với các pha tín hiệu lệch so với nhau 22.50.
Sơ đồ  điều chế  16­PSK có độ phức tạp cao, được sử dụng chủ  yếu kỹ thuật radio  
số.
Hệ thống có các đặc trưng sau:
­

Sai số ít hơn phương pháp 8­PSK.

­

Phổ cực tiểu của tín hiệu điều chế (FW) bằng 1/4 tốc độ truyền bit (=Fb/4).

­

Hiệu suất truyền = 4 (xác định bằng (Fb/ FW) = 4).


­

“Tốc độ  Baud”  được định nghĩa như  tốc độ  điều chế  bằng 1/4 tốc độ  truyền  
(=Fb/4).

2.2.1.4. Phương pháp điều chế ­ Giải điều chế hỗn hợp pha và biên độ QAM (Quadrature  
Amplitude Modulation)
Trong phương pháp điều chế QAM, thơng tin số được điều chế chứa trong cả pha và  
biên độ tín hiệu truyền. Các giá trị  tín hiệu điều chế  có dạng sin với pha và biên độ  được  
xác định bởi tổ hợp các bit liên nhau của tài liệu nhị phân.

PULSE AMPLITUDE MODULATION (PAM)

12


Hệ thống 8­QAM điều chế tín hiệu trên cơ  sở tổ hợp Tribit của tài liệu. Một nhóm  
trong chúng thay đổi biên độ và 2 nhóm cịn lại thay đổi về phía pha. Tín hiệu điều chế sẽ 
nhận 4 pha và 2 biên độ khác nhau (xem Bảng 2­1).
Trên sơ đồ hình 2­11 là giản đồ “chịm sao” tài liệu được mã ở dạng Tribit cho điều 
chế 8­QAM.
Hệ thống 16­QAM điều chế tín hiệu trên cơ sở tổ hợp Quadbit của tài liệu. Tín hiệu 
điều chế sẽ nhận 16 trạng thái khác nhau về pha và biên độ (xem Bảng 2­2).
Bảng 2­1
STT

LỐI VÀO NHỊ PHÂN

LỐI RA 8­QAM


1

0

0

0

0.716V

­1350

2

0

0

1

1.848V

­1350

3

0

1


0

0.765V

­450

4

0

1

1

1.848V

­450

5

1

0

0

0.765V

+1350


6

1

0

1

1.848V

+1350

7

1

1

0

0.765V

+450

8

1

1


1

1.848V

+450

Hình 2­11. Giản đồ “chịm sao” cho điều chế 8­QAM và 16­QAM
Bảng 2.2
STT

LỐI VÀO NHỊ PHÂN

LỐI RA 16­QAM

1

0

0

0

0

0.311V

­1350

2


0

0

0

1

0.850V

­1750

3

0

0

1

0

0.311V

­450

4

0


0

1

1

0.850V

­150

5

0

1

0

0

0.850V

­1050

PULSE AMPLITUDE MODULATION (PAM)

13


6


0

1

0

1

1.161V

­1350

7

0

1

1

0

0.850V

­750

8

0


1

1

1

1.161V

­450

9

1

0

0

0

0.311V

1350

10

1

0


0

1

0.850V

1750

11

1

0

1

0

0.850V

450

12

1

0

1


1

0.850V

150

13

1

1

0

0

0.850V

1050

14

1

1

0

1


1.161V

1350

15

1

1

1

0

0.850V

750

16

1

1

1

1

1.161V


450

Trên sơ đồ  Hình 2­11 là giản đồ  “chịm sao” tài liệu được mã  ở  dạng Quadbit cho 
điều chế 16­QAM.
Sơ  đồ  điều chế  QAM  có độ  phức tạp cao, được sử  dụng chủ  yếu trong modem  
truyền số  liệu (kiểu  CCITT V22bis, CCITT V29, CCITT V32, CCITT V33, BELL 
209) và trong kỹ thuật radio số.
Hệ thống có các đặc trưng sau:
­

Sai số ít hơn phương pháp PSK.

­

Phổ cực tiểu của tín hiệu điều chế (FW) bằng 1/n tốc độ truyền bit (=Fb/n), với n 
là số bit khảo sát điều chế, ví dụ, n­3 cho 8­QAM, n=4 cho 16­QAM.

­

Hiệu suất truyền = n (xác định bằng (Fb/ FW) = n).

­

“Tốc độ  Baud”  được định nghĩa như  tốc độ  điều chế  bằng  1/n  tốc độ  truyền 
(=Fb/n).

2.2.1.5. Phương pháp điều chế ­ Giải điều chế pha vi phân DPSK (Differential Phase Shift  
Keying)
Trong hệ thống điều chế PSK, việc giải điều chế được thực hiện khi so pha tức thời  

của PSK với tín hiệu mang tái lập ở bộ thu. Hệ thống  PSK với thơng tin chứa trong giá trị 
pha tuyệt đối cịn được gọi là PSK tuyệt đối. Khó khăn của hệ thống này là cần giữ  pha 
của sóng mang tái lập khơng đổi.
Hệ  thống  DPSK  được xây dựng cho phép giải quyết khó khăn nêu trên. Thơng tin 
trong hệ  DSPK  khơng chứa trong các giá trị  tuyệt đối của pha sóng mang điều chế  mà  
chứa trong sự lệch pha của hai khoảng điều chế liên tiếp.
a. BPSK vi phân
Phương pháp điều chế  BPSK vi phân được mơ tả  trên Hình 2­12 và 2­13. Trong đó 
tài liệu đầu tiên được chuyển thành mã vi phân, sau đó sử dụng bộ BPSK để điều chế pha.

PULSE AMPLITUDE MODULATION (PAM)

14


Sơ đồ EX­OR (hoặc ­ loại trừ) đã được làm chậm đi 1 khoảng bit. Lối ra  EX­OR sẽ 
đảo bit ra thứ (n+1) nếu bit thứ (n) là “1” và giữ lối ra khơng đổi nếu bit (n) = “0”.
Kết quả là ở lối ra bộ tạo mã vi phân có sự thay đổi pha 1800 ứng với mỗi bit tài liệu 
= “1”.

Hình 2­12. Giản đồ mã vi phân.
Bộ giải điều chế  BPSK vi phân có hai phần: giải điều chế  BPSK thơng thường để 
nhận lại mã vi phân. Sau đó bộ  giải mã vi phân chứa sơ  đồ  EX­OR  và bộ  làm chậm 1 
khoảng bit sẽ tái lập lại tài liệu.

Hình 2­13. Phương pháp điều chế và giải điều chế BPSK vi phân
b. QPSK vi phân.
Phương pháp điều chế  QPSK vi phân được mơ tả trên Hình 2­14. Trong đó tài liệu 
đầu tiên được chuyển thành mã vi phân dạng Dibit cho tín hiệu   D­I  và  D­Q, sau đó sử 
dụng bộ vi phân Dibit để điều chế pha vi phân là các sóng sin lệnh pha nhau  00, 900, 1800 

và 2700.
Sơ đồ  EX­OR (hoặc ­ loại trừ) được sử  dụng làm bộ  so sánh tài liệu  NRZ lối vào 
với tài liệu lối ra bộ so sánh (EX­OR) đã được làm chậm đi một khoảng bit. Lối ra  EX­
OR sẽ đảo bit ra thứ (n+1) nếu bít thứ (n) là “1” và giữ lối ra khơng đổi nếu bit (n)= “0”.

PULSE AMPLITUDE MODULATION (PAM)

15


Hình 2­14. Phương pháp điều chế và giải điều chế QPSK vi phân
Kết quả  là  ở  lối ra bộ  tạo mã vi phân có sự  thay đổi pha  1800  ứng với mỗi bit tài 
liệu = “1”.
Bảng 2­3 mơ tả sự tương ứng giữa tài liệu Dibit và sự dịch pha tín hiệu ra.

Bảng 2­3
DIBIT

DỊCH PHA

0

0

00

0

1


900

1

1

1800

1

0

2700

2.2.1.6. Mã truyền và tái lập tín hiệu nhịp
a. Mã NRZ.
Mã  NRZ  (Non Return­to­Zero) là dạng mã nhị  phân thể  hiện bằng bít   “0”  và bit 
“1”, là xung chữ nhật, có mức “­a” hoặc “+a” được giữ trong độ dài khoảng bit. Mã NRZ 
thường   được   chia   thành   2   loại  NRZ­L  (Non   Return   to   Zero­level)   và  NRZ­I  (Non 
Return to Zero­inverted).
Trong thí nghiệm, sử dụng trường hợp đặc biệt của mã NRZ­L (Hình 5­5a):

liệu.

­

NRZ ­ Data  có phân cực ngược với tài liệu xác lập bằng các cơng tắc   Dip­
Switch. Đó chính là dạng mã NRZ­L.

­


Mức tín hiệu “+a” và “­a” tương ứng với mức “1” và “0” tín hiệu TTL.

Cách tạo mã trên sẽ  làm đơn giản thí nghiệm khảo sát các phương pháp truyền số 

Ở phía thu nhận, các mức “0” và “1” được đọc lại tương ứng với tín hiệu đồng bộ. 
Tín hiệu đồng bộ có thể gửi theo đường truyền riêng. Tuy nhiên, thường tín hiệu đồng bộ 
được tách từ chuỗi tín hiệu tài liệu nhờ sơ đồ có vịng giữ pha (PLL).

PULSE AMPLITUDE MODULATION (PAM)

16


Hình 2­15. Giản đồ mã NRZ và mã Manchester
b. Tái lập tín hiệu nhịp
Sơ đồ tái lập xung nhịp được mơ tả trên Hình 2­16.
Sơ  đồ  EX­OR được sử  dụng để  so sánh giữa tín hiệu tài liệu với tín hiệu tài liệu  
được làm trễ (thường là khoảng ½ độ dài khoảng bit). Lối ra  EX­OR có tín hiệu với thành 
phần phổ  tần số  gấp đơi tín hiệu tài liệu. Sơ  đồ  vịng giữ  pha  PLL sẽ  nhận phát lại tín 
hiệu này với tần số nhịp tái lập bằng đúng tín hiệu nhịp sóng mang. Tín hiệu nhịp tái lập 
được sử dụng để tạo nhịp cho các sơ đồ giải điều chế.

Hình 2­16. Sơ đồ tái lập tín hiệu nhịp

c. Mã Manchester
Khi chuỗi tín hiệu tài liệu có bit 0 hoặc 1 kéo dài liên tục, tần số tín hiệu vào  PLL có 
thể  vượt ra ngồi khoảng đồng bộ, vì vậy chuỗi xung nhịp lối ra được tái lập có thể  sẽ 
khơng   cịn   chính   xác.   Để   tránh   sai   lệch   này   có   thể   biểu   diễn   tài   liệu   dưới   dạng   mã  
Manchester, trong đó bit 1 kéo dài được biểu diễn bằng chu kỳ xung nhịp trực tiếp, cịn bit 

0 kéo dài ­ biểu diễn bằng chu kỳ xung ngược. Trên Hình 2­15 mơ tả  giản đồ  hình thành 
xung Manchester từ xung NRZ.
2.2.2

 Sơ đồ thí nghiệm

2.2.2.1. Bộ điều chế ASK, FSK, PSK, QPSK, QAM
Sơ  đồ  khối thí nghiệm  TC­946M  để  điều chế  ASK, FSK, PSK, QPSK, QAM 
được trình bày trên  Hình 2­17. Sơ   đồ  nguyên lý cho trong phần phụ  lục ( Hình PL2­
1 PL2­4).

PULSE AMPLITUDE MODULATION (PAM)

17


Hình 2­17. Khối điều chế tương tự cho tín hiệu số TC­946D.
a. Máy phát nhịp tài liệu (Data Sequence Generator)
Máy phát xung đồng hồ (Clock Generator):
­

Máy phát xung đồng hồ tạo tín hiệu nhịp chuẩn cho các bộ hình thành tài liệu thí 
nghiệm. Máy phát tạo trên U1 (NE555), phát xung vng tần số  2400Hz. Biến 
trở P1 (Frequency Adjust) cho phép hiệu chỉnh chính xác tần số nhịp (Hình PL2­
1).

­

Bộ chia 2 trên trigger d U2A (74LS74) tạo xung nhịp chuẩn 1200Hz.


­

Trigger R­S trên cổng U4A,D (74LS00) và nút nhấn START tạo tín hiệu xố và 
khởi động đồng bộ cho việc hình thành tài liệu.

Sơ đồ tạo tín hiệu mẫ thời gian CKI, CKQ, CKC (Timing Circuit):
­

Sơ đồ tạo các xung mẫu thời gian được xây dựng trên bộ ghi dịch U3(74LS96) và 
chuyển mạch MODE (S2A) chọn kiểu làm việc (hình PL2­1). Ở chế độ Dibit và 
Tribit, lối ra song song bộ  ghi dịch (QB hoặc  QC) được đưa trở  về  lối vào nối 
tiếp (Serial). Nhờ vậy, bộ ghi dịch U3 có thể phát chuỗi xung lặp lại.

PULSE AMPLITUDE MODULATION (PAM)

18


­

Khi nhấn nút START, bộ ghi dịch được xố và xác lập trạng thái ban đầu từ các 
lối vào song song (A = “1”, B, C = “0”) theo nhịp  CK .

­

Khi chuyển mạch S2A ở vị trí Dibit, lối ra song song QC được đưa về lối vào nối 
tiếp (SER).

­


Tín hiệu lối vào   CK   sẽ  dịch trạng thái ghi trong  U3  và chạy theo vịng, hình 
thành tín hiệu CKI và CKQ (giản đồ  Dibit trên Hình 2­18). Các tín hiệu này lệch 
nhau một chu kỳ  xung nhịp, được sử  dụng cho thí nghiệm điều chế   QPSK  và 
DQPSK.

­

Khi chuyển mạch S2A  ở  vị  trí Tribit, lối ra song song QB được đưa về  lối vào 
nối tiếp (SER).

­

Tín hiệu lối vào   CK   sẽ  dịch trạng thái ghi trong  U3  và chạy theo vịng, hình 
thành tín hiệu CKI, CKQ và CKC (giản đồ Tribit trên Hình 2­18). Các tín hiệu này 
lệch nhau một chu kỳ xung nhịp, được sử dụng cho thí nghiệm điều chế QAM.

Hình 2­18. Giản đồ thời gian tín hiệu mẫu CKI, CKQ và CKC
Sơ đồ tạo chuỗi xung tài liệu (Data Sequence):
­

Sơ   đồ   tạo   chuỗi   xung   tài   liệu   được   xây   dựng   trên   các   bộ   ghi   dịch   U5 U7 
(74LS165) và chuyển mạch SW1 SW24 đặc số liệu (Hình PL2­1).

­

Bộ ghi dịch tạo chuỗi xung 24 bit gồm 3 tầng được nối theo vịng: lối ra nối tiếp  
tầng trước nối với lối vào nối tiếp tầng sau. Vì vậy khi dịch số liệu, chuỗi tài liệu 
24 được lặp lại.

­


Lối vào song song của 3 bộ ghi dịch nối với 24 cơng tắc. Với việc đặt các cơng  
tắc này (ON = 1, OFF=0), nhờ  tín hiệu START và CK có thể  nạp số  liệu ban 
đầu lựa chọn từ  cơng tắc vào bộ  ghi để  dịch thành chuỗi tài liệu  DATA tương 
ứng.

­

Trên  Hình 2­18  là ví dụ  một chuỗi xung tài liệu  NRZ  tương  ứng với cấu hình 
cơng tắc lựa chọn.

PULSE AMPLITUDE MODULATION (PAM)

19


b. Các sơ đồ tạo mã tài liệu.
Bộ tạo mã Manchester (Biphase Coder):
Bộ tạo mã Manchester từ tài liệu DATA được xây dựng trên vi mạch U8D,C (Hình 
PL2­2), thực hiện so sánh mã tài liệu DATA với  CK  bằng sơ đồ EX­OR. Tín hiệu ra sẽ 
là xung nhịp CK trực tiếp nếu Data bit = 1 và là  CK  nếu Data bit = 0 (Hình 2­19).

Hình 2­19. Hình thành mã Manchester.
Bộ tạo mã vi phân 1­bit:
­

Mã vi phân bit được tạo khi chuyển mạch S2B và S2D/MODE (Hình PL2­2) ở 
vị  trí Bit (1). Mã tài liệu DATA qua chuyển mạch này vào sơ  đồ  EX­OR/U8A 
để  so sánh với tín hiệu lối ra bộ  so sánh (EX­OR) đã được làm chậm đi một 
khoản bit (xem sơ  đồ  khối Hình 2­13). Bộ  làm trễ  một khoảng bit là trigger D/ 

U2B làm việc theo nhịp CK. Tín hiệu trễ truyền khơng đảo qua U9C đưa trở về 
lối vào bộ so sánh U8A.

­

Kết quả là bộ tạo mã vi phân sẽ đảo ngược bit ra thứ (n+1) nếu bit vào thứ n = 1 
và giữ lối ra khơng đổi nếu bit (n) = 0.

­

Giản đồ tín hiệu mã vi phân 1­ bit cho trên Hình 2­20.

Hình 2­20. Hình thành mã vi phân 1­bit
Bộ tạo mã Dibit:
­

Để  tạo mã Dibit, cần đặt chuyển mạch S2/MODE  ở  vị  trí Dibit (2). Sơ đồ  tạo 
mã Dibit có lỗi ra  I  và  Q, xây dựng trên các vi mạch  U10A,B  và  U11A  (Hình 
PL2­2).

PULSE AMPLITUDE MODULATION (PAM)

20


­

Tín hiệu  I  được tạo nhờ  2 trigger D  mắc nối tiếp (U10A,B).  Trigger U10A 
được điều khiển bởi nhịp CKI cịn trigger U10B ­ bằng nhịp CKQ. Lối ra I sẽ có 
các mức tương ứng với bit thứ nhất của Dibit với độ dài bằng 2 khoảng bit ( Hình 

2­21).

Hình 2­21. Hình thành mã Dibit
Bộ tạo mã Dibit vi phân:
­

Trong hệ điều chế QPSK vi phân, pha của các sóng sin mang có các giá trị  00, 900, 
1800 và 2700, được xác định như hàm của tài liệu Dibit (xem Hình 2­14).

­

Bộ tạo mã Dibit vi phân lấy các tín hiệu  I và Q  ở bộ tạo mã Dibit (đã trình bày ở 
phần trên) để tạo mã Dibit vi phân với các lối ra DIFF.I và DIFF.Q.

­

Để tạo mã Dibit vi phân, cần đặt chuyển mạch S2/MODE ở vị trí Dibit (2).

­

Tín hiệu I và Q được đưa vào hai bộ mã vi phân 1­bit để hình thành tín hiệu ra:

­

Tín hiệu DIFF.I hình thành trên bộ  so sánh U8A, trigger D làm trễ  U2B (Hình 
PL2­2)

­

Tín hiệu DIFF.Q hình thành trên bộ so sánh U8B, trigger D làm trễ U2A.


­

Trong  Bảng 2­4  cho các trạng thái mã ra  I&Q,  DIFF.I  và  DIFF.Q  ứng với mã 
Dibit thứ (n) và (n+1).
Bảng 2­4
Bit thứ (n)

DIFF.I
0

Bit thứ (n)

DIFF.Q
0

Bit thứ (n+1)

I

Q

DIFF.I

DIFF.Q

0
0
1
1


0
1
1
0

0
1
1
0

0
0
1
1

PULSE AMPLITUDE MODULATION (PAM)

21


0

1

1

1

1


0

0
0
1
1
0
0
1
1
0
0
1
1

0
1
1
0
0
1
1
0
0
1
1
0

0

0
1
1
0
1
0
1
1
1
0
0

1
0
0
1
1
0
0
0
0
1
1
0

Bộ tạo mã Tribit:
­

Để tạo mã Tribit, cần đặt chuyển mạch S2/MODE  ở vị trí Tribit (3). Sơ đồ tạo 
mã Tribit có lối ra I, Q và C, xây dựng trên các vi mạch U10A,B và U11A,B và 

U12B (Hình PL2­2).

­

Tín hiệu  I  được tạo nhờ  2 trigger D  mắc nối tiếp (U10A,B).  Trigger U10A 
được điều khiển bởi nhịp CKI cịn trigger U10B­ bằng nhịp CKC. Lối ra I sẽ có 
các mức tương ứng với bit thứ nhất của Tribit với độ dài bằng 3 khoảng bit (Hình 
2­22).

­

Tín hiệu  Q  được tạo nhờ  2 trigger D  mắc nối tiếp (U11A,B).  Trigger U11A 
được điều khiển bởi nhịp CKQ cịn trigger U11B­ bằng nhịp CKC. Lối ra Q sẽ có 
các mức tương ứng với bit thứ hai của Tribit với độ dài bằng 3 khoảng bit. (Hình 
2­22).

­

Tín hiệu C được tạo nhờ 2 trigger D ­ U12B được điều khiển bởi nhịp CKC. Lối 
ra C sẽ có các mức tương ứng với bit thứ ba của Tribit với độ dài bằng 3 khoảng 
bit. (Hình 2­22).

PULSE AMPLITUDE MODULATION (PAM)

22


Hình 2­22. Hình thành mã Tribit
Máy phát sóng mang (Carrier Generator):
Bộ tạo sóng mang dạng sin sử dụng cho điều chế là các bộ lọc thơng thấp xây dựng  

trên  U14 & U15  (Hình PL2­3). Sơ  đồ  biến đổi các sóng vng   1200Hz  và  2400Hz  từ 
máy phát nhịp thành sóng son. Sơ đồ dịch pha ( Phase Adj.) nhờ các mạch RC (P1­C7, P3­
C14, P5­C20) mắc ở lối vào các tầng khuếch đại tương ứng cho phép hiệu chỉnh pha của 
sóng mang.
Nhánh 1200Hz có hai bộ dịch pha được hiệu chỉnh lệch nhau  900 cho tín hiệu sin ra 
1200Hz­ 0 và 1200Hz­ 90.
Bộ điều chế ASK, FSK, PSK, QPSK, QAM:
Các phương pháp điều chế  được khảo sát tiến hành trên sơ  đồ  điều chế  cân bằng 
U17 và U18 (Hình PL2­4) có bổ sung bộ cộng U19B và bộ suy giảm 6dB­R49, R53, Q1.
Điều chế ASK:
­

Chỉ sử dụng 1 trong hai bộ điều chế cân bằng U17 và U18.

­

Khi đưa mã tài liệu tới lối vào DATA và sóng mang tới lối vào CARRIER, bộ 
điều chế cân bằng sẽ thực hiện nhân hai tín hiệu này.

­

Nếu điều chỉnh biến trở cân bằng sóng mang CARRIER NULL sao cho hệ mất 
cân bằng, lối vào DATA sẽ tác động điều khiển đóng ngắt khố cho tín hiệu sóng  
mang truyền qua. Sơ đồ trong chế độ này hoạt động theo kiểu điều chế ASK.

Điều chế FSK:
­

Chỉ sử dụng cả hai bộ điều chế cân bằng U17 và U18.


­

Mã tài liệu được đưa tới lối vào DATA cho cả hai bộ điều chế.

­

Sóng mang 1200Hz cấp cho lối vào CARRIER của U17, cịn sóng 2400Hz – cho 
lối vào CARRIER của U18.

­

Mỗi bộ điều chế cân bằng sẽ thực hiện hai tín hiệu DATA và CARRIER  ở các 
lối vào tương ứng.

­

Khi điều chỉnh biến trở cân bằng sóng mang CARRIER NULL sao cho U17 cho 
tín hiệu ra 1200Hz  ứng với DATA bit = 0, cịn U18 cho tín hiệu ra 2400Hz  ứng 
với DATA bit = 1.

­

Các tín hiệu này được lấy tổng trên U19B và cho tín hiệu FSK ở lối ra OUT.

Điều chế BPSK:
­

Chỉ sử dụng 1 trong hai bộ điều chế cân bằng U17 và U18.

­


Khi đưa mã tài liệu tới lối vào DATA và sóng mang tới lối vào CARRIER, bộ 
điều chế cân bằng sẽ thực hiện nhân hai tín hiệu này.

PULSE AMPLITUDE MODULATION (PAM)

23


­

Nếu điều chỉnh biến trở  cân bằng sóng mang  CARRIER NULL sao cho hệ  cân 
bằng, lối vào DATA sẽ  tác động điều khiển pha cho tín hiệu sóng mang truyền 
qua. Sơ đồ trong chế độ này hoạt động theo kiểu điều chế BPSK.

­

Nếu cấp tín hiệu dạng vi phân 1­bit, tài liệu điều chế  sẽ  là  BPSK  vi phân (D­
BPSK).

Điều chế QPSK:
­

Trong kiểu điều chế  QPSK, các sóng mang lệch nhau 1200Hz­ 0 và  1200Hz­
90 từ  máy phát sóng mang được đưa tới lối vào  CARRIER của hai bộ điều chế 
cân bằng. Tín hiệu  Dibit I  và  Q  từ  bộ  tạo mã Dibit được cấp cho các lối vào  
DATA của sơ đồ điều chế.

­


Bộ điều chế cân bằng sẽ cấp sóng ra trực tiếp nếu  DATA bit = 1 và cấp sóng ra 
đảo pha nếu DATA bit = 0. Bộ lấy tổng sẽ hình thành tín hiệu 1200Hz với 4 pha 
lệch nhau 900 (Hình 2­7).

­

Khi sử dụng tín hiệu I & Q làm mã tài liệu DATA, sơ đồ là QPSK tuyệt đối. Cịn 
khi sử dụng mã DIFF.I và DIFF.Q – sơ đồ là QPSK vi phân (D­QPSK).

Điều chế QAM:
Điều chế QAM có thể xem như QPSK có biên độ nhận hai giá trị khác nhau. Khi đó 
khoảng điều chế  phụ thuộc trạng thái Tribit I, Q và C. Hai giá trị  I và Q xác định pha tín 
hiệu ra giống như  QPSK. Tín hiệu C sử dụng điều chỉnh bộ suy giảm 6dB (Q1) để thay 
đổi biên độ sóng ra.
2.2.2.2. Bộ Giải điều chế ASK, FSK, PSK, QPSK.
Sơ  đồ  khối thí nghiệm TC­946D để  giải điều chế  ASK, FSK, PSK, QPSK được 
trình bày trên Hình 2­23. Sơ đồ ngun lý cho trong phần phụ lục (Hình OL2­5 Pl2­8).

PULSE AMPLITUDE MODULATION (PAM)

24


Hình 2­23. Khối giải điều chế TC­946D.
1. Bộ giải điều chế ASK
Bộ giải điều chế ASK là tập hợp các sơ đồ (Hình 2­2) sau:
Bộ thu tín hiệu ASK trong mảng DEMODULATORS / TC­946D (Hình PL2­5).
Bộ lọc thơng thấp (tần số cắt ở  1200Hz) trên U7­U8 (Hình PL2­6) và bộ hình thành 
xung trên U9 và EX­OR – U10 trong mảng DECODER & CLOCK RECOVERY / TC­
946D.

Dạng tín hiệu ra như trên Hình 2­2.
2. Bộ giải điều chế FSK
Bộ giải điều chế FSK là tập hợp các sơ đồ tương ứng với Hình 2­4 như sau:
­

Bộ  thu tín hiệu  FSK (U2­PLL)  trong mảng  DEMODULATORS /  TC­946D 
(Hình PL2­5).

­

Bộ  lọc thơng thấp (tần số  cắt  ở  1200Hz) trên U7­U8 (Hình PL2­6) và bộ  hình 
thành   xung   trên  U9  và  EX­OR   –   U10  trong   mảng  DECODER   &   CLOCK 
RECOVERY / TC­946D.

3. Bộ giải điều chế BPSK
Bộ giải điều chế BPSK là tập hợp các sơ đồ tương ứng với Hình 2­6 như sau:
PULSE AMPLITUDE MODULATION (PAM)

25


×