Tải bản đầy đủ (.pdf) (126 trang)

Đồ án tốt nghiệp: Thiết kế, mô phỏng bộ lọc nhiễu tín hiệu điện tim dùng Matlab và chuyển mã VHDL

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (4.16 MB, 126 trang )

TR

B GIÁO D C & ĐÀO T O
NG Đ I H C S PH M K THU T TP. H CHÍ MINH
KHOA ĐI N – ĐI N T
B MÔN ĐI N T CÔNG NGHI P – Y SINH
---------------------------------

Đ

ÁN T T NGHI P

NGÀNH CÔNG NGH K THU T ĐI N T

TRUY N THÔNG

Đ TÀI:

THI T K , MÔ PH NG B L C
NHI U TÍN HI U ĐI N TIM DÙNG
MATLAB VÀ CHUY N MÃ VHDL

GVHD: ThS. Nguy n Thanh Nghƿa
SVTH: Tr n Phan Ái M
MSSV: 14141200

Tp. H Chí Minh – 01/2019


TR
B



NG ĐH SPKT TP. H CHÍ MINH
C NG HÒA XÃ H I CH NGHƾA VI T NAM
KHOA ĐI N-ĐI N T
Đ C L P - T DO - H NH PHÚC
MÔN ĐI N T CÔNG NGHI P – Y SINH
----o0o---Tp. HCM, ngày 03 tháng 10 năm 2018

NHI M V Đ

ÁN T T NGHI P

H tên sinh viên: Tr n Thanh Lâm
Tr n Phan Ái Mỹ
Chuyên ngành: Đi n t công nghi p
H đào t o:
Đ i h c chính quy
Khóa:
2014
I. TÊN Đ TÀI:

MSSV: 14141160
MSSV: 14141200
Mã ngành: 14941
Mã h :
K14941
L p:
14941DT

THI T K , MÔ PH NG B


L C NHI U TÍN HI U

ĐI N TIM DÙNG MATLAB VÀ CHUY N MÃ VHDL
II. NHI M V
1. Các s li u ban đ u:
-

Tín hi u đi n tim ECG đ

-

S dụng bộ x lý chính là kit FPGA Altera – DE2-115.

c thu th p trên Matlab

2. Nội dung th c hi n:
-

Tìm hiểu về các bộ l c thông th p, l c thông cao, l c thông d i.

-

L a ch n ph n cứng, nghiên cứu, phân tích nguyên tắc ho t động của từng kh i
để xây d ng mô hình hoàn chỉnh cho h th ng.

-

Thi t k và mô ph ng bộ l c tín hi u đi n tim trên Matlab và chuyển mã VHDL.


III. NGÀY GIAO NHI M V :

03/10/2018

IV. NGÀY HOÀN THÀNH NHI M V : 10/01/2019
V. H VÀ TÊN CÁN B H
CÁN B H

NG D N

NG D N: ThS. Nguy n Thanh Nghĩa
BM. ĐI N T

CÔNG NGHI P – Y SINH

Trang i


TR
B

NG ĐH SPKT TP. H CHÍ MINH
C NG HÒA XÃ H I CH NGHƾA VI T NAM
KHOA ĐI N - ĐI N T
Đ C L P - T DO - H NH PHÚC
MÔN ĐI N T CÔNG NGHI P – Y SINH
----o0o---Tp. HCM, ngày 05 tháng 10 năm 2018

L CH TRÌNH TH C HI N Đ
H tên sinh viên 1: Tr n Thanh Lâm

L p:
14941DT
H tên sinh viên 2: Tr n Phan Ái Mỹ
L p:
14941DT
Tên đề tài:
THI T K , MÔ PH NG B

ÁN T T NGHI P
MSSV: 14141160
MSSV: 14141200
L C NHI U TÍN HI U

ĐI N TIM DÙNG MATLAB VÀ CHUY N MÃ VHDL
Tuần/ngày

Nội dung

Xác nhận GVHD

Tìm ý t ởng cho đề tài, xây d ng đề
Tu n 1
c ng, sắp x p lịch trình th c hi n đồ
03/10/2018 – 08/10/2018 án.
Tu n 2, 3, 4
Phân tích yêu c u h th ng, tìm hiểu
09/10/2018– 29/10/2018 c sở lý thuy t về tín hi u đi n tim, lý
thuy t về các bộ l c
Tu n 5, 6, 7
Tìm hiểu lý thuy t về kit FPGA

30/10/2018 – 19/11/2018 De2_115
Tu n 8
20/11/2018– 26/11/2018

Xây d ng và phân tích s đồ kh i của
h th ng.

Tu n 9, 10
Ti n hành l p trình, thi t k các bộ l c
27/11/2018 – 10/12/2018 trên FDATool của Matlab
Tu n 11, 12
Ti n hành mô ph ng, ch y th ho t
11/12/2018 – 24/12/2018 động của bộ l c và chỉnh s a các l i.
Tu n 14, 15
25/12/2018 – 10/01/2018 Vi t và hoàn thi n báo cáo
GV H

NG D N

(Ký và ghi rõ h và tên)

Trang ii


L I CAM ĐOAN
Đề tài này do nhóm chúng em th c hi n d a vào một s tài li u và công trình
nghiên cứu tr

c đó và không sao chép từ tài li u hay công trình đã có tr
Ng

Tr n Thanh Lâm

c đó.

i th c hi n đề tài
Tr n Phan Ái M

Trang iii


L IC M

N

L i đ u tiên, nhóm em xin g i l i c m n chân thành và sâu sắc nh t đ n Th y
Nguy n Thanh Nghĩa. Th y đã t n tình h

ng d n, góp ý định h

ng, t o m i điều ki n

cho nhóm em trong su t quá trình th c hi n đề tài t t nghi p.
Nhóm em xin chân thành c m n đ n t t c các th y cô Khoa Đi n – Đi n t ,
Tr

ng Đ i H c S Ph m Kỹ Thu t Tp HCM, nh ng ki n thức và kinh nghi m quý báu

mà chúng em nh n đ

c từ th y cô trong su t quá trình theo h c s là hành trang t t


nh t giúp chúng em v ng b

c trong s nghi p của mình.

Nhóm em xin chân thành c m n Ban Giám Hi u Tr

ng Đ i H c S Ph m Kỹ

Thu t Tp HCM đã t o điều ki n cho chúng em làm đồ án này.
Cu i cùng, chúng em xin g i nh ng l i tri ân đ n gia đình, b n bè, nh ng ng

i

thân yêu nh t luôn quan tâm và t o điều ki n t t nh t cho chúng em trong su t quá trình
h c t p.

Trang iv


M CL C
NHI M V Đ ÁN T T NGHI P ........................................................................... i
LỊCH TRÌNH TH C HI N Đ ÁN T T NGHI P ................................................. ii
L I CAM ĐOAN ...................................................................................................... iii
L I C M N ............................................................................................................ iv
M C L C .................................................................................................................. v
LI T KÊ HÌNH ......................................................................................................... ix
LI T KÊ B NG ........................................................................................................ xi
DANH M C CÁC TỪ VI T T T .......................................................................... xii
CH


NG 1: T NG QUAN ...................................................................................... 1

1.1 Đ T V N Đ .................................................................................................. 1
1.2 M C TIÊU ....................................................................................................... 2
1.3 N I DUNG NGHIÊN CỨU ............................................................................. 2
1.4 GI I H N ........................................................................................................ 2
1.5 B C C ............................................................................................................ 2
CH

NG 2: C S LÝ THUY T ........................................................................... 4

2.1 T NG QUAN V TÍN HI U ĐI N TIM ECG .............................................. 4
2.1.1 Khái ni m về tín hi u đi n tim ECG .......................................................... 4
2.1.2 C u trúc gi i ph u và chức năng của tim ................................................... 4
2.1.3 Nhịp tim ..................................................................................................... 6
2.1.4 Các quá trình đi n h c của tim................................................................... 6
2.1.5 Quá trình hình thành tín hi u đi n tim ....................................................... 6
2.1.5.1 Nhĩ đồ ................................................................................................. 6
2.1.5.2 Th t đồ ................................................................................................ 7
2.1.6 S hình thành các d ng sóng của tim ......................................................... 9
2.1.6.1 Tính d n truyền................................................................................... 9
2.1.6.2 Tính tr và các th i kì tr ................................................................... 9
2.1.6.3 Đi n tr

ng của tim .......................................................................... 10

2.1.7 Các thành ph n của tín hi u đi n tim ECG .............................................. 10
2.1.8 Các d i t n trong tín hi u đi n tim ECG .................................................. 13
Trang v



2.1.9 Các ph

ng pháp đo tín hi u ECG .......................................................... 14

2.1.9.1 Ph

ng pháp Oscillometric .............................................................. 14

2.1.9.2 Ph

ng pháp đi n tim đồ.................................................................. 14

2.1.9.3 Ph

ng pháp h p thụ quang h c ...................................................... 15

2.1.10 Các lo i nhi u tác động đ n tín hi u đi n tim ........................................ 15
2.2 LÝ THUY T V TÍN HI U S VÀ B L C S ....................................... 17
2.2.1 T ng quan về tín hi u s .......................................................................... 17
2.2.2 H x lý s ............................................................................................... 19
2.2.2.1 Mô t h x lý s .............................................................................. 19
2.2.2.2 H x lý s đ quy và không đ quy ................................................ 22
2.2.3 T ng quan về bộ l c s ............................................................................ 22
2.3.3.1 Bộ l c thông th p LPF ...................................................................... 23
2.3.3.2 Bộ l c thông cao HPF....................................................................... 25
2.3.3.3 Bộ l c thông d i BPF ....................................................................... 25
2.3 T NG QUAN V CÔNG C MATLAB ...................................................... 25
2.3.1 Gi i thi u chung ....................................................................................... 25

2.3.2 L p trình trong matlab.............................................................................. 26
2.3.2.1 M-File ............................................................................................... 26
2.3.2.2 Một s câu l nh c b n ..................................................................... 30
2.3.3 Trình mô ph ng Simulink ........................................................................ 34
2.3.4 Công cụ thi t k bộ l c s FDATool của Matlab .................................... 38
2.3.4.1 Gi i thi u ph

ng pháp thi t k theo mô hình ................................. 38

2.3.4.2 T ng quan về hộp công cụ thi t k bộ l c s (FDATool) ................ 39
2.3.4.3 Thi t k bộ l c s dụng giao di n FDATool .................................... 41
2.4 T NG QUAN V FPGA (ALTERA) VÀ PH N M M QUARTUS II ....... 45
2.4.1 Lịch s ra đ i và phát triển của FPGA .................................................... 45
2.4.2 Khái ni m FPGA ...................................................................................... 46
2.4.3 Ứng dụng FPGA ...................................................................................... 48
2.4.4 Ý nghĩa FPGA .......................................................................................... 48
2.4.5 Ph n mềm h tr thi t k Quatus II ......................................................... 49
2.5 T NG QUAN V KIT DE2 -115 ALTERA ................................................. 51
Trang vi


2.5.1 Gi i thi u.................................................................................................. 51
2.5.2 Kit DE2 Cyclone IV EP4CE115F29C7N ................................................ 52
2.5.3 C p nguồn cho kit DE2 ............................................................................ 55
2.6 GI I THI U NGÔN NG

VHDL ................................................................ 55

2.6.1 Gi i thi u.................................................................................................. 55
2.6.2 C u trúc một mô hình h th ng mô t bằng VHDL ................................. 57

2.6.3 Cú pháp và ng nghĩa .............................................................................. 59
2.6.3.1 Đ i t

ng trong VHDL .................................................................... 59

2.6.3.2 Kiểu d li u trong VHDL................................................................. 61
CH

NG 3: THI T K VÀ THI CÔNG ............................................................... 62

3.1 GI I THI U ................................................................................................... 62
3.2 TÍNH TOÁN VÀ THI T K H TH NG .................................................... 62
3.2.1 Thi t k s đồ kh i h th ng .................................................................... 62
3.2.2 Tính toán và thi t k bộ l c d ng FIR ...................................................... 62
3.2.2.1 K t c u cho các kiểu l c t n s d ng FIR: ....................................... 62
3.2.2.2 C u hình t ng quát của bộ l c FIR ................................................... 68
3.2.3 Thi t k bộ l c s d ng FIR theo ph

ng pháp MBD ............................. 69

3.2.3.1 Xây d ng s đồ kh i ........................................................................ 69
3.2.3.2 Thi t k , mô ph ng và chuyển mã VHDL ....................................... 70
3.3 THI CÔNG H TH NG ................................................................................ 80
3.3.1 Biên dịch ch

ng trình trên Quartus II .................................................... 80

3.3.2 S đồ kh i trên Quartus ........................................................................... 83
3.3.3 Mô ph ng bộ l c dùng ModelSim ........................................................... 84
3.3.3.1 T ng quát về ph n mềm mô ph ng ModelSim ................................ 84

3.3.3.2 Mô ph ng m ch l c trên ModelSim ................................................. 85
3.3.4 Th nghi m và kiểm tra ........................................................................... 86
CH

NG 4: K T QU - NH N XÉT - ĐÁNH GIÁ ........................................... 87

4.1 K T QU ....................................................................................................... 87
4.1.1 K t qu mô ph ng bộ l c trên Matlab ..................................................... 87
4.1.2 K t qu d ng sóng mô ph ng trên ModelSim ......................................... 99
4.2 NH N XÉT – ĐÁNH GIÁ ............................................................................ 99
Trang vii


CH

NG 5: K T LU N VÀ H

NG PHÁT TRIỂN ....................................... 101

5.1 K T LU N ................................................................................................... 101
5.2 H

NG PHÁT TRIỂN................................................................................ 101

TÀI LI U THAM KH O ...................................................................................... 102
PH L C ............................................................................................................... 103

Trang viii



LI T KÊ HÌNH
Hình 2.1 C u t o tim ng i ............................................................................................. 4
Hình 2.2 H th ng d n truyền tim ...................................................................................5
Hình 2.3 Kh c c tâm nhĩ và s hình thành sóng P ........................................................7
Hình 2.4 Kh c c vách liên th t và s hình thành sóng Q ..............................................7
Hình 2.5 D ng sóng tín hi u đi n tim............................................................................10
Hình 2.6 Máy đó huy t áp kỹ thu t s s dụng Oscillometric ......................................14
Hình 2.7 Thu th p tín hi u ECG từ các đi n c c ..........................................................14
Hình 2.8 D ng sóng của b nh thi u máu cục bộ c tim ................................................17
Hình 2.9 S đồ kh i của h x lý s .............................................................................20
Hình 2.10 S đồ kh i của h x lý s phức t p ............................................................. 20
Hình 2.11 Ký hi u ph n t cộng ...................................................................................21
Hình 2.12 Ký hi u ph n t nhân ...................................................................................21
Hình 2.13 Ký hi u ph n t nhân v i hằng s ................................................................ 21
Hình 2.14 Ký hi u ph n t tr đ n vị ............................................................................22
Hình 2.15 S đồ kh i bộ l c thông th p d ng chính tắc ...............................................24
Hình 2.16 S đồ kh i bộ l c thông th p d ng chuyển vị ..............................................24
Hình 2.17 Giao di n trình mô ph ng Simulink ............................................................. 35
Hình 2.18 Kh i Sine Wave và thông s cài đ t ............................................................. 36
Hình 2.19 Kh i Scope và màn hình hiển thị .................................................................36
Hình 2.20 Kh i Random Source và thông s cài đ t ....................................................37
Hình 2.21 Kh i Sum và thông s cài đ t .......................................................................37
Hình 2.22 Kh i Gain và thông s cài đ t ......................................................................38
Hình 2.23 Giao di n thi t k của FDATool ..................................................................41
Hình 2.24 Thông s kỹ thu t bộ l c thông th p ............................................................ 43
Hình 2.25 Đáp tuy n biên độ_ t n s pha của bộ l c thông th p ..................................44
Hình 2.26 Chuyển thi t k trên FDATool sang mã VHDL...........................................45
Hình 2.27 Ki n trúc t ng quan của FPGA ....................................................................46
Hình 2.28 C u trúc SRAM FPGA (SRAM Logic Cell) ................................................47
Hình 2.29 C u trúc của OTP FPGA (OTP Logic Cell) .................................................47

Hình 2.30 Giao di n ph n mềm Quatus II .....................................................................50
Hình 2.31 Kit DE2-115 Altera ......................................................................................52
Hình 2.32 Adapter 9V- 1.3A .........................................................................................55
Hình 3.1 S đồ kh i của h th ng .................................................................................62
Hình 3.2 Đ c tính biên độ t n s của bộ l c thông th p lý t ởng .................................63
Hình 3.3 Đ c tính biên độ t n s của bộ l c thông cao lý t ởng ..................................65
Hình 3.4 Đ c tính biên độ t n s của bộ l c thông d i lý t ởng ...................................67
Hình 3.5 C u hình t ng quát của bộ l c FIR đáp ứng xung h u h n ............................ 68
Trang ix


Hình 3.6 S đồ kh i chức năng của h th ng ................................................................ 69
Hình 3.7 Thông s của bộ l c thông th p d ng FIR......................................................70
Hình 3.8 Thông s của bộ l c thông cao d ng FIR .......................................................71
Hình 3.9 Thông s của bộ l c thông d i d ng FIR........................................................71
Hình 3.10 Ch n ch độ l c thông th p cho kh i mô ph ng ..........................................72
Hình 3.11 Kh i l c thông th p trong Simulink ............................................................. 72
Hình 3.12 Thi t l p mô ph ng l c nhi u thông th p ECG ............................................73
Hình 3.13 Ch n ch độ thông cao cho kh i mô ph ng .................................................74
Hình 3.14 Kh i l c thông cao trong Simulink .............................................................. 74
Hình 3.15 Thi t l p mô ph ng l c nhi u thông cao ECG .............................................75
Hình 3.16 Ch n ch độ thông d i cho kh i mô ph ng ..................................................76
Hình 3.17 Kh i l c thông cao trong Simulink .............................................................. 76
Hình 3.18 Thi t l p mô ph ng l c nhi u thông d i ECG ..............................................77
Hình 3.19 Thi t l p mô ph ng l c nhi u ng u nhiên thông th p ECG .........................78
Hình 3.20 Chuyển mã VHDL theo s đồ kh i .............................................................. 79
Hình 3.21 Cài đ t chuyển mã VHDL từ FDATool .......................................................80
Hình 3.22 Thao tác add file trong quartus .....................................................................81
Hình 3.23 Ch y kiểm tra l i Analysis & Synthesis .......................................................82
Hình 3.24 Biên dịch l i ch ng trình ............................................................................83

Hình 3.25 Giao di n v s đồ kh i ................................................................................83
Hình 3.26 V s đồ kh i trong Block Diagram............................................................. 84
Hình 3.27 Giao di n ph n mềm ModelSim version 6.5 ................................................85
Hình 3.28 Hộp tho i đ t tên project ModelSim ............................................................ 85
Hình 3.29 Hộp tho i add file cho project trong ModelSim ...........................................85
Hình 3.30 Biên dịch file mô ph ng thành công ............................................................ 86
Hình 3.31 Quá trình t i c u hình xu ng FPGA ............................................................. 86
Hình 4.1 Các d ng sóng của h th ng bộ l c thông th p tr ng h p 1 ........................87
Hình 4.2 Các d ng sóng của h th ng bộ l c thông th p tr ng h p 2 ........................88
Hình 4.3 Các d ng sóng của h th ng bộ l c thông th p tr ng h p 3 ........................89
Hình 4.4 Các d ng sóng của h th ng bộ l c thông th p tr ng h p 4 ........................89
Hình 4.5 Các d ng sóng của h th ng bộ l c thông cao tr ng h p 1..........................90
Hình 4.6 Các d ng sóng của h th ng bộ l c thông cao tr ng h p 3..........................91
Hình 4.7 Các d ng sóng của h th ng bộ l c thông cao tr ng h p 2..........................91
Hình 4.8 Các d ng sóng của h th ng bộ l c thông cao tr ng h p 4..........................92
Hình 4.9 Các d ng sóng của h th ng dùng bộ l c thông d i .......................................93
Hình 4.10 D ng sóng của h l c nhi u ng u nhiên dùng l c thông th p l n 1 .............94
Hình 4.11 Thi t l p mô ph ng l c nhi u ng u nhiên thông th p ECG có khu ch đ i ..94
Hình 4.12 D ng sóng của h l c nhi u ng u nhiên dùng l c thông th p l n 2 .............95
Hình 4.13 D ng sóng của h l c nhi u ng u nhiên dùng l c thông th p l n 3 .............95
Trang x


Hình 4.14 Thi t l p mô ph ng l c nhi u ng u nhiên thông cao ECG có khu ch đ i ...96
Hình 4.15 D ng sóng của h l c nhi u ng u nhiên dùng l c thông th p l n 1 .............96
Hình 4.16 D ng sóng của h l c nhi u ng u nhiên dùng l c thông th p l n 2 .............97
Hình 4.17 Thi t l p mô ph ng l c nhi u ng u nhiên thông d i ECG có khu ch đ i ....97
Hình 4.18 D ng sóng của h l c nhi u ng u nhiên dùng l c thông d i l n 1 ...............98
Hình 4.19 D ng sóng của h l c nhi u ng u nhiên dùng l c thông d i l n 2 ...............98
Hình 4.20 D ng sóng mô ph ng trên ModelSim........................................................... 99


LI T KÊ B NG
Bảng 2.1 Cấu trúc của bộ lọc có đáp tuyến xung hữu hạn ...........................................40

Trang xi


DANH M C CÁC T

VI T T T

FPGA

: Field – Program Gate Array

LPF

: Low Pass Filter

HPF

: High Pass Filter

BPF

: Band Pass Filter

PLD

: Programmable Logic Device


ASIC

: Application-specific Integrated Circuit

GPIO

: General Purpose Input Output

SPI

: Serial Peripheral Interface

PWM

: Pulse-width modulation

IFT

: Interfacial Tension

DSP

: Digital signal processing

Trang xii


CH


NG 1. T NG QUAN

CH

NG 1: T NG QUAN

1.1 ĐẶT V N Đ
X lý tín hi u s (Digital Dignal Processing – DSP), hay t ng quát h n là x lý tín
hi u r i r c theo th i gian (Discrete-Time Signal Processing), là vi c x lý một tín hi u
vào b t kỳ để thu đ

c tín hi u ra mong mu n, nhằm đ t mục đích nh t định. X lý tín

hi u ngày càng đóng vai trò quan tr ng trong nhiều ngành khoa h c và kỹ thu t, là động
l c thúc đ y s ti n bộ của nhiều ngành kỹ thu t cao nh : vi n thông, đa ph

ng ti n,

cũng nh góp ph n quan tr ng trong các lĩnh v c khác nh quân s , y h c, … Cùng v i
s bùng n của ngành công nghi p đi n t hi n nay, công ngh x lý tín hi u s DSP
cũng bùng n nhanh chóng và r t phát triển. Có thể nói, x lý tín hi u s là nền t ng cho
m i lĩnh v c và ch a có s biểu hi n bão hòa trong s phát triển của nó, v y nên, ngày
nay, có nhiều ph n mềm (Matlab, Scilab, …) cũng nh ph n cứng (PC, Vi điều khiển,
Arduino, FPGA, …) đ

c dùng để x lý tín hi u s [1].

Công ngh FPGA (Field – Program Gate Array) là vi m ch dùng c u trúc m ng
ph n t logic mà ng
các m ch logic đ


i dùng có thể l p trình đ

c. FPGA chứa các logic cells th c hi n

c k t n i v i nhau bởi ma tr n k t n i và chuyển m ch l p trình đ

Thi t k hay l p trình cho FPGA đ

c th c hi n chủ y u bằng các ngôn ng mô t ph n

cứng HDL, VHDL, VERILOG, … FPGA đ

c xem nh một lo i vi m ch bán d n có

nhiều u điểm h n hẳn các lo i bán d n xu t hi n tr
v i ng

c.

c đó nh có tính linh động đ i

i dùng, giúp phát triển các gi i pháp t t h n mà không phụ thuộc vào ph n cứng

của nhà s n xu t, ngoài ra, FPGA còn có thể tái c u trúc l i khi đang s dụng: ngoài kh
năng tái c u trúc vi m ch toàn cục, một s FPGA hi n đ i còn h tr tái c u trúc cục bộ,
tức kh năng tái c u trúc một bộ ph n riêng lẻ trong khi v n đ m b o ho t động bình
th

ng cho các bộ ph n khác, công đo n thi t k đ n gi n, do v y chi phí gi m, rút ngắn


th i gian [1-2].
Tr

c đó, đã có một s đề tài nghiên cứu về X lý tín hi u s dùng FPGA nh :

“Thi t k bộ l c tín hi u s trên công ngh FPGA v i công cụ Matlab và EDA của
XILINX” [3], “Thi t k trên FPGA để lo i ồn cho tín hi u ECG nh bi n đ i sóng con”
[4], “Thi t k bộ l c s trên dsPIC ứng dụng trong vi c x lý đi n tâm đồ” [5]. Cụ thể,
đề tài [3] dùng Matlab để thi t k bộ l c tín hi u s d ng FIR, dùng kit FPGA của hãng
B MÔN ĐI N T

CÔNG NGHI P – Y SINH

Trang 1


CH

NG 1. T NG QUAN

Xilinx và ngôn ng VHDL để x lý tín hi u s . Công trình [4] dùng phép bi n đ i
wavelet r i r c (Discrete Wavelet Transform – DWT) để x lý tín hi u ECG, theo th i
gian th c, trên nền FPGA hãng Xilinx. Bên c nh đó, vi c s

dụng Vi x

lý

dsPIC30F3012 để thi t k bộ l c thông th p và l c thông ch n nhằm l c nhi u cho tín

hi u ECG cũng đã đ

c nghiên cứu trong đề tài [5].

Từ nh ng c sở lý thuy t đã tìm hiểu và nh ng công trình nghiên cứu tr

c đó, thêm

vào đó là nhu c u về l c nhi u tín hi u ECG, nhóm quy t định ch n đề tài: “THI T K ,
MÔ PH NG B L C NHI U TÍN HI U ĐI N TIM DÙNG MATLAB VÀ CHUYỂN
MÃ VHDL”.

1.2 M C TIÊU
Xây d ng một bộ l c s trên nền t ng FPGA để l c nhi u tín hi u đi n tim ECG
nhằm đem l i tín hi u xác th c nhằm h tr t t h n cho vi c khám ch a b nh. Trong
đó, FPGA đ

c xem nh là ph n cứng v i chức năng th c thi bộ l c nhi u cho tín hi u

đi n tim, còn Matlab đ

c s dụng nh một công cụ để thi t k và mô ph ng bộ l c

nhằm đánh giá kh năng th c hi n trong th c t .

1.3 N I DUNG NGHIÊN C U
• N I DUNG 1: Nghiên cứu t ng quan về FPGA, ngôn ng VHDL, tín hi u ECG,
các bộ l c thông cao, thông th p, thông d i.
• N I DUNG 2: Nghiên cứu về kit FPGA Altera – DE2-115.
• N I DUNG 3: Thi t k bộ l c và mô ph ng trên Matlab.

• N I DUNG 4: Mô ph ng và th c thi bộ l c trên kit FPGA Altera – DE2-115.
• N I DUNG 5: Ch y th nghi m h th ng.
• N I DUNG 6: Chỉnh s a các l i l p trình và l i của các thi t bị.
• N I DUNG 7: Vi t lu n văn.
• N I DUNG 8: Báo cáo đề tài t t nghi p.

1.4 GI I H N
• Thi t k bộ l c thông th p, thông cao và thông d i cho tín hi u ECG.
• Kho ng t n s bộ l c dao động từ 50–120Hz.
• Nền t ng ph n cứng th c thi bộ l c dùng kit FPGA Altera – DE2-115.

1.5 B

C C

B MÔN ĐI N T

CÔNG NGHI P – Y SINH

Trang 2


CH

NG 1. T NG QUAN



Ch
Ch


ng 1: T ng Quan
ng này trình bày đ t v n đề d n nh p lý do ch n đề tài, mục tiêu, nội dung

nghiên cứu, các gi i h n thông s và b cục đồ án.


Ch
Ch

ng 2: C Sở Lý Thuy t
ng này gi i thi u các lý thuy t liên quan, các linh ki n, thi t bị, ph n cứng

s dụng thi t k .


Ch
Ch

ng 3: Thi t k và thi công h th ng
ng này tính toán thi t k h th ng, thi t k s đồ kh i, chức năng từng kh i

và th c thi ch


Ch
Ch




Ch
Ch

ng trình trên FPGA.

ng 4: K t Qu , Nh n Xét, Đánh Giá
ng này nêu k t qu đã đ t đ
ng 5: K t Lu n và H

c, nh n xét đánh giá h th ng.

ng Phát Triển

ng này trình bày nh ng gì đã đ t đ

ban đ u, nêu h

B MÔN ĐI N T

c và ch a đ t đ

c so v i mục tiêu

ng phát triển.

CÔNG NGHI P – Y SINH

Trang 3



CH

NG 2. C S LÝ THUY T

CH

NG 2: C

S

LÝ THUY T

2.1 T NG QUAN V TÍN HI U ĐI N TIM ECG
2.1.1 Khái ni m v tín hi u đi n tim ECG
Một trong các tín hi u đi n sinh h c quan tr ng và kinh điển nh t ứng dụng trong
vi c ch n đoán và điều trị b nh là tín hi u đi n tim đồ (hay còn g i là đi n tâm đồ, ti ng
Anh: Electrocardiogram hay th
ECG là tín hi u đi n thu đ
động của tim ng
th

ng g i tắt là ECG hay EKG).
c từ các đi n c c gắn lên c thể ng

i để đo các ho t

i. Khi tim đ p tác dụng lên các đi n c c t o ra các xung đi n. Thông

ng các xung đi n này r t nh do đó c n ph i khu ch đ i lên rồi m i đ


hi u đi n tim đ

c đ c tr ng bởi các d ng sóng đ

c x lí. Tín

c ký hi u P, Q, R, S, T và U [2, 3].

Do trái tim trong h tu n hoàn là bộ ph n có c u t o hoàn toàn bằng c . M i khi
co l i trong quá trình b m máu, nó s t o ra một đi n tr

ng sinh h c và truyền qua kh i

d n liên h p từ ng c, bụng t i bề m t da. Vì th , chúng ta có thể đo đ
đi n th sinh h c này từ b t kỳ 2 điểm nào trên bề m t da. Tín hi u thu đ
2 điểm này đ

c s chênh l ch
ct im ic p

c g i là một đ o trình của tín hi u đi n tim đồ. Biên độ và d ng sóng của

tín hi u ECG phụ thuộc vào c p đi n c c đ

c đ t ở đâu trên bề m t da của b nh nhân.

2.1.2 C u trúc gi i ph u và ch c năng c a tim

Hình 2.1 Cấu tạo tim người
Tim là một t chức c r ng gồm 4 buồng. Bên ngoài đ

g i là bao tim, bên trong đ
B MÔN ĐI N T

c bao b c bởi một túi s i

c c u t o bằng c tim có vách ngăn chia tim thành hai n a

CÔNG NGHI P – Y SINH

Trang 4


CH

NG 2. C S LÝ THUY T

riêng bi t g i là tim trái và tim ph i. Tim trái b m máu ra ngo i vi, còn tim ph i b m
máu lên ph i. M i n a tim l i đ

c chia ra thành hai buồng, buồng trên là tâm nhĩ có

thành m ng làm nhi m vụ chứa máu, buồng d

i là tâm th t có thành dày, kh i c l n

giúp cung c p l c đ y máu đi đ n các bộ ph n. Gi a tâm nhĩ và tâm th t có van nhĩ th t,
gi a tâm th t trái và động m ch chủ, tâm th t ph i và động m ch ph i có van bán nguy t.
Các van này đ m b o cho máu chỉ di chuyển theo một chiều từ tâm nhĩ xu ng tâm th t,
từ tâm th t xu ng động m ch chứ không cho đi ng


c l i, nh v y đ m b o đ

cs

tu n hoàn máu.
Ngoài ra, tim còn có một c u trúc đ c bi t th c hi n chức năng phát và d n
truyền xung đ

c g i là h d n truyền. H th ng d n truyền gồm:

+ Nút xoang nhĩ (SAN): là nút t o nhịp cho toàn bộ trái tim, nằm ở c tâm nhĩ
ph i, phát xung v i t n s kho ng 120 l n/phút.
+ Các đ

ng liên nút: nằm ở gi a nút xoang nhĩ và nút nhĩ th t, th c hi n chức

năng d n truyền các xung động gi a nút xoang nhĩ và nút nhĩ th t.
+ Nút nhĩ th t (AVN): nằm ở bên ph i vách liên nhĩ, gi nhi m vụ làm ch m d n
truyền tr

c khi các xung động đ

c truyền xu ng th t v i t n s kho ng 50-60

l n/phút.
+ Bó His: bắt đ u từ nút nhĩ th t đ n vách liên th t thì chia thành hai nhánh trái và
ph i ch y d

i nội tâm m c hai th t để d n truyền xung động đ n hai th t, t i đây,


chúng phân nhánh thành m ng l

i Purkinje ch y gi a các s i c tim giúp d n

truyền xung động xuyên qua các thành của th t. Bó His phát xung kho ng 30-40
l n/phút.

Hình 2.2 Hệ thống dẫn truyền tim
B MÔN ĐI N T

CÔNG NGHI P – Y SINH

Trang 5


CH

NG 2. C S LÝ THUY T

2.1.3 Nh p tim
Nhịp tim là s nhịp đ p của tim trên một đ n vị th i gian, th

ng đ

c tính bằng

s nhịp/phút. Nhịp tim có thể thay đ i theo nhu c u h p thụ Oxi và bài ti t CO2 của c
thể, ví dụ nh lúc t p thể dục và lúc ngủ.
Tim là t chức c r ng, t i đó s co bóp một cách tu n t các c s t o ra áp l c
đ y máu đi qua các bộ ph n khác nhau trên c thể. M i nhịp tim đ


c kích thích bởi

xung đi n từ các t bào nút xoang t i tâm nhĩ. Các xung đi n truyền đ n các bộ ph n
khác của tim và làm cho tim co bóp. Vi c ghi tín hi u đi n tim là ghi l i các tín hi u
đi n này (tín hi u ECG).

2.1.4 Các quá trình đi n h c c a tim
Năng l

ng chuyển hóa đ

c s dụng để t o ra môi tr

ng trong giàu Kali nh ng

ít Natri so v i thành ph n ngo i bào Natri cao và Kali th p. Do có s không cân bằng
tồn t i đi n th tĩnh trên màng t bào, bên trong chừng 90mV so v i bên ngoài. Khi t
bào bị kích thích (bằng cách cho dòng đi n v n làm tăng t m th i th ngang màng), các
tính ch t của màng thay đ i theo chu trình, pha thứ nh t của nó là độ th m m nh đ i v i
Natri, dòng Natri l n (s m) ch y vào trong do các gradient khu ch tán và đi n.
Dòng ch y t o ra dòng đi n. Trong khi di chuyển ti p, t bào về c b n có tính
ch t nh nguồn l ỡng c c đi n. Dòng Natri chuyển ti p này chịu trách nhi m về dòng
m ch đi n nội t i và là một ph n của dòng đi n đó. Theo cách này, ho t động mở rộng
ti p t i các t bào lân c n. Khi màng hồi phục (trở về các tính ch t nghỉ), th tác động
của t bào k t thúc và nó trở l i tr ng thái nghỉ và có kh năng đ

c tái kích thích. Nói

một cách ngắn g n khi có dòng Natri, Kali ch y qua màng tim thì có đi n th đ


c sinh

ra.

2.1.5 Quá trình hình thành tín hi u đi n tim
2.1.5.1 Nhƿ đ
Tim ho t động đ

c nh vào một xung động truyền qua một h th ng th n

kinh t kích của tim. Đ u tiên, nút xoang nhĩ s phát xung t động, xung động t a
ra làm c nhĩ kh c c tr

c. Sóng kh c c có h

từ ph i sang trái và h p v i ph

ng chung là từ trên xu ng d

ng ngang một góc 490. Đ t sóng này đ

ghi đi n tim ghi l i v i d ng một sóng d

i,

c máy

ng, đ n, th p, nh và có biên độ kho ng


0,25mV g i là sóng P (hình 2.3)
B MÔN ĐI N T

CÔNG NGHI P – Y SINH

Trang 6


CH

NG 2. C S LÝ THUY T

Hình 2.3 Khử cực tâm nhĩ và sự hình thành sóng P

2.1.5.2 Th t đ
Ngay khi nhĩ còn đang kh c c thì xung động đã bắt đ u truyền vào nút nhĩ
th t xu ng th t và hai nhánh bó His xu ng kh c c th t. Sóng kh c c h

ng từ

gi a m t trái đi xuyên qua m t ph i của vách liên th t. Máy s ghi nh n đ

c một

sóng âm nh , g n g i là sóng Q (hình 2.4).

Hình 2.4 Khử cực vách liên thất và sự hình thành sóng Q
Xung ti p tục truyền xu ng và ti n hành kh c c đồng th i c hai tâm th t theo
h


ng xuyên qua bề m t dày c tim, từ d

t kh c c h

i nội tâm m c ra d

ng từ ph i sang trái và máy ghi nh n đ

i th

ng tâm m c. Véc-

c một làn sóng d

ng, cao và

nh n g i là sóng R. Sau cùng, xung động truyền xu ng và kh c c vùng đáy th t. Véct kh c c h

ng từ trái sang ph i, máy s ghi nh n đ

B MÔN ĐI N T

CÔNG NGHI P – Y SINH

c một sóng âm, nh và nh n

Trang 7


CH


NG 2. C S LÝ THUY T

g i là sóng S (hình 2.5).

Hình 2.5 Khử cực ở tâm thất và sự hình thành sóng R, S

Hình 2.6 Tái cực tâm thất và sự hình thành sóng T
Sau khi th t kh c c xong s qua th i kỳ tái c c ch m. Giai đo n này đ
hi n trên đi n tâm đồ bằng một đ

ng đẳng đi n g i là đo n S – T (hình 2.6). Sau đó là

th i kỳ tái c c nhanh t o nên sóng T. Tái c c có h
th

ng tâm m c vào l p d

ng xuyên qua c tim, từ l p d

i nội tâm m c. Véc-t tái c c có h

và từ ph i sang trái t o ra một sóng d
B MÔN ĐI N T

c thể

ng từ trên xu ng d

ng, th p, không đ i xứng mà có s


CÔNG NGHI P – Y SINH

i
i

n lên thoai
Trang 8


CH

NG 2. C S LÝ THUY T

tho i h n và s
thể th y đ

n xu ng d c đứng h n g i là sóng T. Sau khi k t thúc sóng T còn có

c một sóng ch m nh g i là sóng U đ c tr ng cho giai đo n tái c c muộn.

2.1.6 S hình thành các d ng sóng c a tim
2.1.6.1 Tính d n truy n
Tim là một kh i c r ng gồm 4 buồng, dày m ng không đều nhau, c u trúc phức
t p làm cho tín hi u đi n của tim phát ra th c ch t là t ng h p của các s i c tim, phức
t p h n của một t bào hay một s i c .
Nút SA là một chùm nh t bào (kho ng 3x10 mm) nằm ở cu i thành của tâm nhĩ,
ngay d

i điểm gắn vào của tĩnh m ch trên (đóng vai trò khởi phát). Nó cung c p tín


hi u kích thích truyền xung ra c nhĩ làm cho nhĩ kh c c, nhĩ bóp tr

c đ y máu xu ng

th t. V n t c truyền đ i v i th động năng của nút SA là kho ng 30cm/s trong mô tâm
nhĩ. Sau đó nút nhĩ th t Tawara (AV node: Aschoff - Tawara node) nh ti p nh n xung
động s truyền qua bó His. Có một bộ dãy mô chuyên bi t nằm gi a nút SA và AV, ở
đó v n t c truyền nhanh h n v n t c trong mô tâm nhĩ kho ng 51cm/s, con đ

ng truyền

d n bên trong này mang tín hi u đ n các tâm th t. Do tâm th t ph i ho t động đáp ứng
l i một động năng tr

c khi tâm nhĩ r ng nên ở mức động năng 45cm/s s đ t đ n nút

AV trong kho ng 30 đ n 50ms sau khi phóng từ nút SA. Sau đó nút AV ho t động gi ng
nh một gi i h n hoãn nhằm làm ch m l i ph n đ n tr
h th ng d n đi n bên trong h

c của th động năng cùng v i

ng đ n các tâm th t.

Xung truyền qua hai nhánh c tâm th t nh m ng l

i Purkinje và làm kh c c

tâm th t. Lúc này th t đã đ y máu s bóp m nh và đ y máu ra ngoài. Tính d n đ


ng

các s i Purkinje r t nhanh. Th động năng ch y qua kho ng cách gi a các nút SA và
AV là kho ng 40ms và bị làm ch m l i bởi nút AV kho ng 100ms sao cho kích ho t các
ngăn d

i có thể đồng bộ v i ph n tr ng của các ngăn trên. Vi c d n vào các chùm

nhánh thì khá nhanh gi định cho 60ms khác v

n đ n các s i Purkinje xa nh t.

2.1.6.2 Tính tr và các th i kì tr
Tính ch t chính của t bào c (phụ trách truyền d n) liên quan đ n s hình thành
chứng lo n nhịp là s tr (không ph n ứng) đ i v i kích thích trong một giai đo n xác
định nào đó. Kho ng th i gian này đ

c g i là chu kì tr .

Trong su t chu kì tr , các t bào tái c c. M t độ ion K+, Na+ bên trong và c bên
ngoài thay đ i do các ion trên di chuyển qua màng t bào để t o đi n th nghỉ.
B MÔN ĐI N T

CÔNG NGHI P – Y SINH

Trang 9


CH


NG 2. C S LÝ THUY T

Chu kì trơ có thể chia làm hai phần:
+ Giai đo n đ u ngay l p tức theo sau giai đo n kh c c, t bào hoàn toàn không
ph n ứng l i v i kích thích bên ngoài và đ

c g i là giai đo n tr tuy t đ i (ARP

- Absolute Refractory Period).
+ Giai đo n sau là giai đo n s kh c c có thể th c hi n đ

c m c dù đi n th t

ng

đ i khá nh nên xung không đủ lan ra các t bào bên c nh. Trong giai đo n này, t
bào đ

c g i là tr t

2.1.6.3 Đi n tr

ng đ i (RRP - Relative Refractory Period).

ng c a tim

S lan truyền xung trong tim và ở môi tr

ng trung gian từ tim đ n da cũng nh


hình d ng bề m t c thể.
Xét phân b đi n th : Gi s c thể là môi tr

ng d n đi n và đi n môi không

đồng nh t. Đi n th s tăng trong các mô d n truyền của c tim trong lúc kh c c và tái
c c. S phân b đi n th có thể đ
Theo tính ch t của đi n tr

c xem t

ng đ

ng v i s phân b đi n tr

ng.

ng, m i điểm của c thể có một véc-t m t độ dòng đi n.

Tim nằm trong một ch t không đồng nh t l n vô h n có cùng độ d n truyền. Trong
tr

ng h p ch t trung gian có gi i h n, các điểm trên bề m t có véc-t m t độ dòng đi n

khác nhau nên xem nh c u trúc của tim là một dipole. Giá trị tức th i mô-men đi n (E)
trong một chu kỳ làm vi c của tim t o một đ
Lúc đó đi n tr

ng của tim đ


ng cong không gian phức t p khép kín.

c biểu di n bằng nh ng đ

ng đẳng áp.

Vì th đi n th tim có thể đo gián ti p nh các đi n c c đ t lên nh ng điểm xác
định trên bề m t c thể. N u nh ta đ t tim vào trong một h t a độ vuông góc ba chiều
thì hình chi u đ
đ

ng cong của không gian này lên c ba m t phẳng đều có d ng ba

ng cong có tên là P, QRS, T. Véc-t t o đ

bằng chính véc-t đi n tim. Ph

ng pháp này đ

ng cong trên m t phẳng chính di n này
c g i là đi n tim đồ.

2.1.7 Các thành ph n c a tín hi u đi n tim ECG

Hình 2.5 Dạng sóng tín hiệu điện tim
Trong hình 2.5 là tín hi u ECG gồm các thành ph n:
B MÔN ĐI N T

CÔNG NGHI P – Y SINH


Trang 10


CH

NG 2. C S LÝ THUY T

Sóng P: thể hi n quá trình kh c c ở tâm nhĩ trái và ph i, sóng P có d ng một



đ

ng cong đi n th d

ng, kéo dài kho ng 0.06 đ n 0.1 giây.

Đoạn PR: là đo n từ điểm bắt đ u sóng P đ n tr



c điểm bắt đ u phức QRS. Nó

bao gồm th i gian kh c c tâm nhĩ và d n đ n nút AV. Đo n PR kéo dài kho ng 0.12
đ n 0.2 giây.
Phức QRS: thể hi n quá trình kh c c tâm th t, kéo dài kho ng 0.04 đ n 0.1 giây.




Phức QRS chia ra ba tr ng thái là Q, R và S.
Đoạn ST: từ lúc k t thúc quá trình kh c c tâm th t đ n tr



c qua trình tái phân

c c. Điểm bắt đ u g i là điểm J, điểm k t thúc g i là điểm ST.
Sóng T: thể hi n quá trình tái phân c c tâm th t. Vì quá trình này có t c độ ch m



h n kh c c nên sóng T rộng và có độ d c th p.
Sóng U: hi n nay nguồn g c hình thành sóng này ch a đ



th ít đ

c xác định rõ ràng vì

c đề c p t i.

M i thành ph n này có đ c tr ng riêng, đáp ứng riêng nh ng có chung đ c điểm
đều là các hi n t

ng đi n sinh v t. Hi n t

ng đi n sinh v t là quá trình hoá lý, hoá


sinh phức t p x y ra bên trong và ngoài màng t bào.
- Nhƿ đ :
Xung động đi từ nút xoang (ở nhĩ ph i) s t a ra làm kh c c c nhĩ v i h
chung là từ trên xu ng d
h

ng từ trên xu ng d

ng

i và từ ph i sang trái. Nh v y véc-t kh c c nhĩ s có

i và từ ph i sang trái, t o v i đ

còn g i là trục đi n nhĩ, t o đ

c một làn sóng d

ng ngang một góc +49 0 và

ng th p, nh v i th i gian kho ng từ

0,05s → 0,1s g i là sóng P. Do đó, trục đi n nhĩ l i còn có tên g i là trục sóng P.
Khi nhĩ tái c c, nó có phát ra dòng đi n ghi lên máy bằng một sóng âm nh g i là
sóng Ta (auricular T). Ngay lúc này cũng xu t hi n kh c c th t (QRS) v i đi n th
m nh h n nhiều nên trên đi n tim đồ thông th

ng ta không nhìn th y đ

c sóng Ta


n a. Tóm l i, nhĩ đồ có nghĩa là s ho t động của nhĩ chỉ thể hi n lên đi n tim bằng một
làn sóng chính là sóng P [1].
- Th t đ :
• Khử cực: X y ra ngay khi nhĩ đang còn kh c c rồi bắt vào nút nhĩ-th t rồi truyền
qua th t và hai nhánh bó His xu ng kh c c th t. Vi c kh c c này bắt đ u từ ph n gi a
m t trái vách liên th t xuyên sang m t ph i vách này, t o ra một véc-t kh c c đ u tiên
B MÔN ĐI N T

CÔNG NGHI P – Y SINH

Trang 11


CH

h

NG 2. C S LÝ THUY T

ng từ trái sang ph i, t o ra một làn sóng âm nh , nh n, g i là sóng Q.
Xung truyền xu ng và ti n hành kh c c đồng th i c hai tâm th t theo h

xuyên qua bề m t dày c tim, từ l p d
véc-t kh c c h
h

i nội tâm m c ra d

ng tâm m c. Lúc này


ng nhiều về bên trái h n vì th t trái dày h n vì tim nằm nghiêng

ng trục gi i ph u về bên trái. Véc-t kh c c lúc này h

ghi đ

i th

ng

c một làn sóng d

ng cao, nh n, g i là sóng R.

Sau đó, kh c c vùng đáy th t l i h
từ trái sang ph i: ghi đ

ng từ ph i sang trái và máy

ng từ trái sang ph i, t o một véc-t h

ng

c một làn sóng âm, nh , nh n, g i là sóng S.

Tóm l i, kh c c th t bao gồm ba làn sóng cao, nh n Q, R, S bi n thiên phức t p
nên đ

c g i là phức bộ QRS (QRS complex). Vì nó có sức đi n động t


ng đ i l n l i

bi n thiên nhanh trong một th i gian ngắn (chỉ kho ng 0.07s) nên còn g i là phức bộ
nhanh, c n chú ý là trong phức bộ nhanh, sóng chính l n nh t là sóng R.
N u ta đem t ng h p 3 véc-t kh c c Q, R, S ở trên l i, ta s đ
kh c c trung bình có h

ng từ trên xu ng d

ngang một góc kho ng 85°, véc-t đó còn đ

c một véc-t

i và từ ph i sang trái, t o v i đ

ng

c g i là trục đi n trung bình của tim, hay

g i tắt là trục đi n tim, trục QRS.
• Tái cực: Th t kh c c xong s qua th i kỳ tái c c ch m, thể hi n trên đi n tâm
đồ bằng một đo n thẳng đồng đi n g i là đo n ST, sau đó đ n th i kỳ tái c c nhanh.
Tái c c có h

ng xuyên qua c tim, từ l p d

tâm m c. Tái c c ng
c


i th

ng tâm m c vào l p d

c chiều v i kh c c do nó ti n hành đúng vào lúc tim co bóp v i

ng độ m nh nh t, làm cho l p c tim d

i nội tâm m c bị l p ngoài nén quá m nh

nên tái c c muộn đi. Trái v i kh c c, tái c c ti n hành từ vùng đi n d
đi n âm. Véc-t tái c c h
làn sóng d

i nội

ng từ trên xu ng d

ng t i vùng

i và từ ph i sang trái làm phát sinh một

ng th p g i là sóng T [1].

Sóng T không đ i xứng, mà có s
Th i gian của nó r t dài nên nó đ

n lên tho i h n và s

c g i là sóng ch m. Sau khi T k t thúc, có thể còn


th y một sóng ch m nh g i là sóng U. Ng
của tái c c, vì th trong nhiều tr
Tóm l i, th t đồ có thể đ

i ta cho rằng sóng U là một giai đo n muộn

ng h p không xét đ n.
c chia làm hai giai đo n:

+ Giai đo n kh c c, bao gồm phức bộ QRS và còn đ
+ Giai đo n tái c c, bao gồm ST và T (và c sóng U), đ
B MÔN ĐI N T

n xu ng d c đứng h n.

CÔNG NGHI P – Y SINH

c g i là pha đ u.
c g i là pha cu i.
Trang 12


×