Tải bản đầy đủ (.pdf) (42 trang)

M morris mano, charles kime logic and computer design fundamentals (4th edition) solutions textbook prentice hall (2007)

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (660.69 KB, 42 trang )

www.elsolucionario.org


Solutions to Problems Marked with a * in
Logic and Computer Design Fundamentals, 4th Edition

Chapter 1
© 2008 Pearson Education, Inc.

1-3*
Decimal, Binary, Octal and Hexadecimal Numbers from (16)10 to (31)10
Dec
Bin
Oct
Hex

16
17
18
19
20
21
22
23
24
25
26
27
28
29
30


31
1 0000 1 0001 1 0010 1 0011 1 0100 1 0101 1 0110 1 0111 1 1000 1 1001 1 1010 1 1011 1 1100 1 1101 1 1110 1 1111
20
21
22
23
24
25
26
27
30
31
32
33
34
35
36
37
10
11
12
13
14
15
16
17
18
19
1A
1B

1C
1D
1E
1F

1-7*
( 1001101 ) 2 = 2 6 + 2 3 + 2 2 + 2 0 = 77
( 1010011.101 ) 2 = 2 6 + 2 4 + 2 1 + 2 0 + 2 – 1 + 2 – 3 = 83.625
( 10101110.1001 ) 2 = 2 7 + 2 5 + 2 3 + 2 2 + 2 1 + 2 –1 + 2 – 4 = 174.5625

1-9*
Decimal

Binary

Octal

369.3125

101110001.0101

561.24

Hexadecimal
171.5

189.625

10111101.101


275.5

BD.A

214.625

11010110.101

326.5

D6.A

62407.625

1111001111000111.101

171707.5

F3C7.A

1-10*
a)
8|7562
8|945
8|118
8|14
8|1
0
b)
c)


2
1
6
6
1

0.45 × 8 = 3.6 => 3
0.60 × 8 = 4.8 => 4
0.80 × 8 = 6.4 => 6
0.20 × 8 =3.2 => 3

16612

3463

(7562.45)10 = (16612.3463)8
(1938.257)10 = (792.41CB)16
(175.175)10 = (10101111.001011)2

1-11*
a)

(673.6)8

b)

(E7C.B)16

c)


(310.2)4

=

(110 111 011.110)2

=

(1BB.C)16

=

(1110 0111 1100.1011)2

=

(7174.54)8

=

(11 01 00.10)2

=

(64.4)8

1-16*
a)


(BEE)r = (2699)10
11 × r 2 + 14 × r 1 + 14 × r 0 = 2699
11 × r 2 + 14 × r – 2685 = 0

By the quadratic equation: r = 15 or ≈ –16.27
ANSWER: r = 15

1

www.elsolucionario.org


Problem Solutions – Chapter 1
b)

(365)r = (194)10
3 × r 2 + 6 × r 1 + 5 × r 0 = 194
3 × r 2 + 6 × r – 189 = 0

By the quadratic equation: r = – 9 or 7
ANSWER: r = 7

1-18*
a) (0100 1000 0110 0111)BCD
b) (0011 0111 1000.0111 0101)BCD

=

(4867)10


=

(1001100000011)2

=

(378.75)10

=

(101111010.11)2

1-19*
(694)10

=

(0110 1001 0100)BCD

(835)10

=

(1000 0011 0101)BCD

1

0001

0110


1001

0100

+1000

+0011

+0101

1111

1100

1001

+0110

+0110

+0000

0101

1 0010

1001

1-20*

(a)

101 100
0111 1000
Move R 011 1100 0
100 column > 0111
Subtract 3
-0011
011 1001 0
Subtract 3
-0011
01 1001
Move R
0 1100 110
100 column > 0111
Subtract 3
-0011
0 1001 110
Move R
0100 1110
Move R
010 01110
Move R
01 001110
Move R
0 1001110 Leftmost 1 in BCD number shifted out: Finished

102 101 100
0011 1001 0111
Move R 001 1100 1011

Subtract 3
-0011 -0011
001 1001 1000
Move R
00 1100 1100
Subtract 3
-0011 -0011
00 1001 1001
Move R
0 0100 1100
Subtract 3
-0011
0 0100 1001
Move R
0010 0100
Move R
001 0010
Move R
00 1001
Subtract 3
-0011
00 0110
Move R
0 0011
Move R
0001
Move R
000
ished


(b)

1

101 and 100 columns > 0111

1
01

101 and 100 columns > 0111

01
101

100 column > 0111

1101
01101
001101

100 column > 0111

001101
0001101
10001101
110001101Leftmost 1 in BCD number shifted out: Fin-

2

www.elsolucionario.org



Problem Solutions – Chapter 1

1-25*
a)

(11111111)2

b)

(0010 0101 0101)BCD

c)

011 0010

011 0101

011 0101ASCII

d)

0011 0010

1011 0101

1011 0101ASCII with Odd Parity

3


www.elsolucionario.org


Solutions to Problems Marked with a * in
Logic and Computer Design Fundamentals, 4th Edition

Chapter 2
© 2008 Pearson Education, Inc.

2-1.*

a)

XYZ = X + Y + Z

Verification of DeMorgan’s Theorem
X

Y

Z

XYZ

XYZ

X+Y+Z

0


0

0

0

1

1

0

0

1

0

1

1

0

1

0

0


1

1

0

1

1

0

1

1

1

0

0

0

1

1

1


0

1

0

1

1

1

1

0

0

1

1

1

1

1

1


0

0

X + YZ = ( X + Y ) ⋅ ( X + Z )

b)

The Second Distributive Law
X

Y

Z

YZ

X+YZ

X+Y

X+Z

(X+Y)(X+Z)

0

0


0

0

0

0

0

0

0

0

1

0

0

0

1

0

0


1

0

0

0

1

0

0

0

1

1

1

1

1

1

1


1

0

0

0

1

1

1

1

1

0

1

0

1

1

1


1

1

1

0

0

1

1

1

1

1

1

1

1

1

1


1

1

XY + YZ + XZ = XY + YZ + XZ

c)

2-2.*

X

Y

Z

XY

YZ

0

0

0

0

0


0

0

0

0

0

0

0

1

0

1

0

1

0

0

1


1

0

1

0

1

0

0

1

0

1

0

1

0

1

1


1

0

0

1

0

0

1

1

1

0

0

0

0

1

1


1

0

0

1

1

0

1

0

1

0

1

1

0

0

1


1

1

0

0

0

1

1

0

1

0

1

1

1

1

0


0

0

0

0

0

0

0

a)

XZ XY+YZ+XZ XY

XY + XY + XY

YZ

XZ XY+YZ+XZ

=

X+Y

=


1

0

= ( XY + XY ) + ( XY + XY )
= X(Y + Y) + Y( X + X )
= X+Y
b)

AB + BC + AB + BC
= ( AB + AB ) + ( BC + BC )
= B(A + A) + B(C + C)

1

www.elsolucionario.org


Problem Solutions – Chapter 2

B+B = 1
c)

Y + XZ + XY

=

X+Y+Z

=


XY + XZ + YZ

= Y + XY + XZ
= ( Y + X ) ( Y + Y ) + XZ
= Y + X + XZ
= Y + ( X + X )( X + Z )
= X+Y+Z
d)

XY + YZ + XZ + XY + YZ
= X Y + YZ ( X + X ) + XZ + XY + YZ
= XY + XYZ + XYZ + XZ + XY + YZ
= XY ( 1 + Z ) + XYZ + XZ + XY + YZ
= XY + XZ ( 1 + Y ) + XY + YZ
= XY + XZ + XY ( Z + Z ) + YZ
= XY + XZ + XYZ + YZ ( 1 + X )
= XY + XZ ( 1 + Y ) + YZ
= XY + XZ + YZ

2-7.*

a)

XY + XYZ + XY = X + XYZ = ( X + XY ) ( X + Z ) = ( X + X ) ( X + Y ) ( X + Z )
= ( X + Y ) ( X + Z ) = X + YZ

b)

X + Y ( Z + X + Z ) = X + Y ( Z + XZ ) = X + Y ( Z + X ) ( Z + Z ) = X + YZ + XY


c)

WX ( Z + YZ ) + X ( W + WYZ ) = WXZ + WXYZ + WX + WXYZ

= ( X + X ) ( X + Y ) + YZ = X + Y + YZ = X + Y
= WXZ + WXZ + WX = WX + WX = X
d)

( AB + AB ) ( CD + CD ) + AC = ABCD + ABCD + ABCD + ABCD + A + C
= ABCD + A + C = A + C + A ( BCD ) = A + C + C ( BD ) = A + C + BD

2-9.*

a)

F = (A + B )( A + B )

b)

F = ( ( V + W )X + Y )Z

c)

F = [ W + X + ( Y + Z ) ( Y + Z ) ] [ W + X + YZ + YZ ]

d)

F = ABC + ( A + B )C + A ( B + C )


2-10.*
Truth Tables a, b, c
X

Y

Z

a

A

B

C

b

W

X

Y

Z

c

0


0

0

0

0

0

0

1

0

0

0

0

0

0

0

1


0

0

0

1

1

0

0

0

1

0

0

1

0

0

0


1

0

0

0

0

1

0

1

0

1

1

1

0

1

1


1

0

0

1

1

0

1

0

0

0

1

0

0

0

0


1

0

0

0

1

0

1

1

1

0

1

0

0

1

0


1

0

1

1

0

1

1

1

0

0

0

1

1

0

1


1

1

1

1

1

1

1

1

0

1

1

1

0

1

0


0

0

0

2

www.elsolucionario.org


Problem Solutions – Chapter 2
Truth Tables a, b, c

a)

Sum of Minterms:

1

0

0

1

0

1


0

1

0

1

1

0

1

1

0

1

1

0

0

1

1


1

0

1

1

1

1

1

0

1

1

1

1

1

1

XYZ + XYZ + XYZ + XYZ


Product of Maxterms: ( X + Y + Z ) ( X + Y + Z ) ( X + Y + Z ) ( X + Y + Z )
b)

ABC + ABC + ABC + ABC

Sum of Minterms:

Product of Maxterms: ( A + B + C ) ( A + B + C ) ( A + B + C ) ( A + B + C )
c)

WXYZ + WXYZ + WXYZ + WXYZ + WXYZ + WXYZ

Sum of Minterms:

+ W XYZ
Product of Maxterms: ( W + X + Y + Z ) ( W + X + Y + Z ) ( W + X + Y + Z )
( W + X + Y + Z )( W + X + Y + Z ) ( W + X + Y + Z )
( W + X + Y + Z )( W + X + Y + Z ) ( W + X + Y + Z )

2-12.*

( AB + C ) ( B + CD ) = AB + ABCD + BC = AB + BC s.o.p.

a)

= B ( A + C ) p.o.s.
X + X ( X + Y ) ( Y + Z ) = ( X + X ) ( X + ( X + Y) ( Y + Z ) )

b)


= ( X + X + Y ) ( X + Y + Z ) p.o.s.
= ( 1 + Y ) ( X + Y + Z ) = X + Y + Z s.o.p.
( A + BC + CD ) ( B + EF ) = ( A + B + C ) ( A + B + D ) ( A + C + D ) ( B + EF )

c)

= ( A + B + C ) ( A + B + D ) ( A + C + D ) ( B + E ) ( B + F ) p.o.s.
( A + BC + CD ) ( B + EF ) = A ( B + EF ) + BC ( B + EF ) + CD ( B + EF )
= A B + AEF + BCEF + BCD + CDEF s.o.p.

2-15.*
a)

b)

Y

1

1
1

X

1

1

c)


B
1

1

1

1

A

B
1

1

1

A 1

1

1

C
A + CB

Z
XZ + XY


C
B+C

2-18.*
a)

b)

1

1

1

1

Z
Σm ( 3, 5 , 6 , 7 )

W

1

1

1

1 1

C

1

1

Y
1
X

c)

Y

X

1
Z
Σm ( 3, 4, 5, 7, 9, 13, 14, 15 )

1
1
1

A
1

1

1

B


1

D
Σm ( 0, 2 , 6, 7 , 8 , 10, 13, 15 )

3

www.elsolucionario.org


Problem Solutions – Chapter 2

2-19.*
a) Prime = XZ, WX, XZ, WZ

b) Prime = CD, AC, BD, ABD, BC

Essential = XZ, XZ

c) Prime = AB, AC, AD, BC, BD, CD

Essential = AC, BD , ABD

Essential = AC, BC, BD

2-22.*
a) s.o.p. CD + AC + BD

c) s.o.p. BD + ABD + ( A BC or ACD )


b) s.o.p. AC + BD + AD

p.o.s. ( C + D ) ( A + D ) ( A + B + C )

p.o.s. ( C + D ) ( A + D ) ( A + B + C )

p.o.s. ( A + B ) ( B + D ) ( B + C + D )

2-25.*
b)

a)

1

B
X
A

1
X

1

1
1

W
1


C
Primes = AB, AC, BC, ABC
Essential = AB, AC, BC
F = AB + AC + BC

c)

Y
1
1

X

X

1

1

C
X X
1

X

X

Z
Primes = XZ, XZ, WXY, WXY, WYZ, WYZ

Essential = XZ
F = XZ + WXY + WXY

A

X 1

1

1

1 X

1

X X

B

D
Primes = AB, C, AD, BD
Essential = C, AD
F = C + AD + ( BD or AB )

2-32.*
X ⊕ Y = XY + XY
Dual (X ⊕ Y ) = Dual ( XY + XY )
= (X + Y)(X + Y)
= XY + XY
= XY + XY

= X⊕Y

4

www.elsolucionario.org


Solutions to Problems Marked with a * in
Logic and Computer Design Fundamentals, 4th Edition

Chapter 3
© 2008 Pearson Education, Inc.

3-2.*
C

A

1

1

1

1

1

1


B

F = AB + AC

D

3-24.*
a)

b)
VDD
F7

A

G7

F6

A

G6

F5

0

G5

F4


1

G4

F3

A

G3

F2

A

G2

F1

1

G1

F0

1

G0

1


www.elsolucionario.org


Problem Solutions – Chapter 3

3-30.*
D0
D1
D2
D3
D4
D5
D6
D7
D8

DECODER

A0
A1
A2

0
1
2
3
4
5
6

7

A0
A1
A2

D9
D10
D11
D12
D13

A3
A4

DECODER

D14

A0
A1

D15

0
1
2
3

D16

D17
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31

3-35.*
D3
0
X
X
X
1

D2
0
X
X
1
0


D1
0
X
1
0
0

D0
0
1
0
0
0

A1
X
0
0
1
1

A0
X
0
1
0
1

V = D0 + D1 + D2 + D3

A0 = D0 ( D 1 + D 2 )

V
0
1
1
1
1

D1

A1
X
1
D3

D2

1

D0
D1

A1

D2

A0

1

D0

V

A0

D1
X

1
1

A1 = D0 D1
D3

D3

1
1

1

D2

D0

2

www.elsolucionario.org



Problem Solutions – Chapter 3

3-42.*
8x1 MUX

D(7:0)

D(7:0) Y
0

A(2:0)

S(2:0)
8x1 MUX

D(14:8)

D(6:0) Y
0
D(7)
S(2:0)

A(3)

3 OR gates

3-43.*
A1
0

0
0
0
1
1
1
1

A0
0
0
1
1
0
0
1
1

E
0
1
0
1
0
1
0
1

A
0

0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1

1
1
1

D0
0
1
0
0
0
0
0
0

D1
0
0
0
1
0
0
0
0

D2
0
0
0
0
0

1
0
0

Consider E as the data input and A0, A1 as the
select lines. For a given combination on (A1,
A0), the value of E is distributed to the corresponding D output. For example for (A1, A0) =
(10), the value of E appears on D2, while all other
outputs have value 0.

D3
0
0
0
0
0
0
0
1

3-47.*
C
0
0
1
1
0
0
1
1

0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

F
0
1

0
1
1
0
0
0
0
0
0
1
1
1
1
1

C
D

F=D
B
A

F=C D

VDD

4 x 1 MUX
S0
S1
D0

D1
D2
D3

Y

F

F=C D

F=1

3

www.elsolucionario.org


Solutions to Problems Marked with a * in
Logic and Computer Design Fundamentals, 4th Edition

Chapter 4
© 2008 Pearson Education, Inc.

4-2.*
C 1 = T 3 + T 2 = T 1 C 0 + T 2 = A 0 B 0 C 0 + A 0 + B 0 = ( A 0 + B 0 )C 0 + A 0 B 0 = ( A 0 B 0 + C 0 ) ( A 0 + B 0 )
C1 = A0 B0 + A 0C 0 + B 0C 0
S0 = C 0 ⊕ T4 = C 0 ⊕ T1 T2 = C0 ⊕ A 0 B0 ( A0 + B 0 ) = C0 ⊕ ( A0 + B 0 ) ( A0 + B 0 ) = C0 ⊕ A 0B 0 + A0 B 0
S0 = A 0 ⊕ B0 ⊕ C0

T3


T1

T4

T2

4-3.*
Unsigned
1001 1100 1001 1101 1010 1000 0000 0000 1000 0000
1’s Complement 0110 0011 0110 0010 0101 0111 1111 1111 0111 1111
2’s Complement 0110 0100 0110 0011 0101 1000 0000 0000 1000 0000

4-6.*
+36

=

0100100

36

- 24

=

1101000

+(–24)


- 35

=

1011101

0100100
+

1101000
10001100

= 12

=

–35

0001100
1011101

- (–24)

+

0011000

= –11

=


1110101

4-16.*
a)
b)
c)
d)
e)

S
0
1
1
0
1

A
0111
0100
1101
0111
0001

B
0111
0111
1010
1010
1000


C4 S3 S2 S1 S0
0 1 1 1 0
0 1 1 0 1
1 0 0 1 1
1 0 0 0 1
0 1 0 0 1

1

www.elsolucionario.org


Problem Solutions – Chapter 4

4-20.*
N1

X1

N2

X2

N6

N3

f


N4
X3
N5
X4

4-24.*
begin
F <= (X and Z) or ((not Y) and Z);
end;

4-29.*

The solution given is very thorough since it checks each of the carry connections between adjacent cells
transferring 0 and 1. In contrast a test applying C0 = 1 and A = 15 with B = 0 would allow a whole
variety of incorrect connections between cells that would not be detected.

4-31.*(Errata: Replace “E” with “EN”.)

1

2

www.elsolucionario.org


Problem Solutions – Chapter 4

4-34.*
X1


N1

N2

X2

N6

N3

f

N4
X3
N5
X4

4-38.*
module circuit_4_53(X, Y, Z, F);
input X, Y, Z;
output F;
assign F = (X & Z) | (Z & ~Y);
endmodule

4-43.*

The solution given is very thorough since it checks each of the carry connections between adjacent cells
transferring 0 and 1. In contrast a test applying C0 = 1 and A = 15 with B = 0 would allow a whole
variety of incorrect connections between cells that would not be detected.


3

www.elsolucionario.org


Solutions to Problems Marked with a * in
Logic and Computer Design Fundamentals, 4th Edition

Chapter 5
© 2008 Pearson Education, Inc.

5-7.*
Present state

Input

X=0

Next state

A

B

C

X

A


B

C

0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0

0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0

1
0
1
0
1
0
1
0
1
0
1

1
0
0
1
0
1
1
0
1
0
0
1
0
1
1
0

0

0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1

1
1

000

100

110

001

011

010

111

101

X=1
001

100

010

101
000

011


111

110

State diagram is the combination of the above two diagrams.

5-11.*
SA = B

SB = X ⊕ A

RA = B

RB = X ⊕ A

Present state

Input

1/1

Next state

0/0

A

B


X

A

B

Y

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1

0
1
0
1

0
0
1
1
0
0
1
1

1
0
1
0
0
1
0
1

0
1
1
0
1
0
0

1

1

0

Output

1/0

0/1

0/1
1/0

2

3
0/0

1/1

Format: X/Y

5-13.*
Present state

A
0
0

0
0
1
1
1
1

B
0
0
1
1
0
0
1
1

Input

X
0
1
0
1
0
1
0
1

Next state


A
0
1
0
0
1
1
1
0

DA

B
1

1

B
0
0
1
0
0
1
1
1

DB


B

A 1

1

1
X

DA = AX + BX

A

1

1

1

X
DB = AX + BX

Logic diagram not given.

1

www.elsolucionario.org


Problem Solutions – Chapter 5


5-18.*
Format: XY/Z (x = unspecified)
Present state
x1/x

00/0
x1/x

00/1
10/0
1

0
10/1

Inputs

Next state

Output

Q(t)

X

Y

Q(t+1)


Z

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0

0
1
0
1
0
1
0

0
X
1
X
1
X
0
X

5-26.*
To use a one-hot assignment, the two flip-flops A and B

No Reset State Specified.

need to be replaced with four flip-flops Y4, Y3, Y2. Y1.
Present State

AB
0
0
0
0

1
1
1
1

0
0
1
1
0
0
1
1

Input

Y4 Y3 Y2 Y1
0
0
0
0
0
0
1
1

0
0
0
0

1
1
0
0

0
0
1
1
0
0
0
0

1
1
0
0
0
0
0
0

Next State

X

A’ B"

0

1
0
1
0
1
0

0
0
0
1
1
1
1
0

1

1
0
1
0
1
0
1
0

Y4’Y3’Y2’Y1
0
0

0
0
1
0
1
0

D1 = Y1’= X·Y1 + X·Y4

Output

0
0
0
1
0
1
0
0

1
0
1
0
0
0
0
0

0

1
0
0
0
0
0
1

D2 = Y2’ = X·Y1 + X·Y2

Z

D3 = Y3’ = X·Y2 + X·Y3

1
1
0
0
0
0
0
0

D4 = Y4’ = X·Y3 + X·Y4

X

D

Y1


Y

C
D

Y2

C
D

Y3

C
D

Y4

C
Clock

2

www.elsolucionario.org


Problem Solutions – Chapter 5

5-27.*
a)


S
0
0
1
1

R
0
1
0
1

Q
Q
0
1
1

b)

Format: SR

No Change
Reset
Set
Set

10,11
00, 10, 11


00,01
1

0
01

c)
Present state

Input

Next state

Q

S

R

Q(t+1)

A

B

0
0
0
0

1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
0
1
1
1
0
1

1

0
0
1
1
x
0
x
x

x
x
0
0
0
1
0
0

A=S
B = SR
A
S

B

S

Q


R

R
Clock

*5-31.
2 8

1
RESET
7 11
x0/0, 01/1

3

01/0

00/0

Reset, 00, 01, 00, 01, 11, x0, x0, 01, 10,
01, 01, 11, 11, 11, 10.

00/1

11/0 1

0

14


10/1

12 11/1

4
15

9

01/1, 10/0

11/1
3

13

2

x0/1, 01/0

6 10

11/0

5

Format: XY/Z

3


www.elsolucionario.org


Problem Solutions – Chapter 5

5-33.*
Clock
J
K
Y
Q
0 ps

50 ns

100 ns

150 ns

This simulation was performed without initializing the state of the latches of the flip-flop beforehand.
Each gate in the flip-flop implementation has a delay of 1 ns. The interaction of these delays with the
input change times produced a narrow pulse in Y at about 55 ns. In this case, the pulse is not harmful
since it dies out well before the positive clock edge occurs. Nevertheless, a thorough examination of such
a pulse to be sure that it does not represent a design error or important timing problem is critical.

5-37.*

(


)

4

www.elsolucionario.org


Problem Solutions – Chapter 5

5-40.*
library IEEE;
use IEEE.std_logic_1164.all;

architecture mux_4to1_arch of mux_4to1 is
begin

entity mux_4to1 is
port (
S: in STD_LOGIC_VECTOR (1 downto 0);
D: in STD_LOGIC_VECTOR (3 downto 0);
Y: out STD_LOGIC
);
end mux_4to1;

process (S, D)
begin
case S is
when "00" => Y <= D(0);
when "01" => Y <= D(1);
when "10" => Y <= D(2);

when "11" => Y <= D(3);
when others => null;
end case;

-- (continued in the next column)

end process;
end mux_4to1_arch;

5-45.*
library IEEE;
use IEEE.std_logic_1164.all;
entity jkff is
port (
J,K,CLK: in STD_LOGIC;
Q: out STD_LOGIC
);
end jkff;
architecture jkff_arch of jkff is
signal q_out: std_logic;
begin
state_register: process (CLK)
begin
if CLK'event and CLK='0' then --CLK falling edge
-- (continued in the next column)

case J is
when '0' =>
if K = '1' then
q_out <= '0';

end if;
when '1' =>
if K = '0' then
q_out <= '1';
else
q_out <= not q_out;
end if;
when others => null;
end case;
end if;
end process;
Q <= q_out;
end jkff_arch;

5-49.*
module problem_6_39 (S, D, Y) ;
input [1:0] S ;
input [3:0] D ;
output Y;
reg Y ;
// (continued in the next column)

always @(S or D)
begin
if (S == 2'b00) Y <= D[0];
else if (S == 2'b01) Y <= D[1];
else if (S == 2'b10) Y <= D[2];
else Y <= D[3];
end
endmodule


5-53.*
module JK_FF (J, K, CLK, Q) ;
input J, K, CLK ;
output Q;
reg Q;

always @(negedge CLK)
case (J)
0'b0: Q <= K ? 0: Q;
1'b1: Q <= K ? ~Q: 1;
endcase
endmodule

5

www.elsolucionario.org


Solutions to Problems Marked with a * in
Logic and Computer Design Fundamentals, 4th Edition

Chapter 6
© 2008 Pearson Education, Inc.

6-1.*
a) F = (A + B) C D
b) G = (A + B) (C + D)

6-4*

The longest path is from input C or D.
0.073 ns + 0.073 ns + 0.048 ns + 0.073 ns = 0.267 ns

6-10.*
a) The longest direct path delay is from input X through the two XOR gates to the output Y.
tdelay = tpdXOR + tpdXOR = 0.20 + 0.20 = 0.40 ns
b) The longest path from an external input to a positive clock edge is from input X through the XOR gate and
the inverter to the B Flip-flop.
tdelay = t pdXOR + tpd INV + tsFF = 0.20 + 0.05 + 0.1 = 0.35 ns
c) The longest path delay from the positive clock edge is from Flip-flop A through the two XOR gates to the
output Y.
tdelay = tpdFF + 2 tpdXOR = 0.40 + 2(0.20) = 0.80 ns
d) The longest path delay from positive clock edge to positive clock edge is from clock on Flip-flop A through
the XOR gate and inverter to clock on Flip-flop B.
tdelay-clock edge to clock edge = tpdFF + tpdXOR + tpdINV + tsFF = 0.40 + 0.20 + 0.05 + 0.10 = 0.75 ns
e) The maximum frequency is 1/tdelay- clock edge to clock edge. For this circuit, tdelay-clock edge to clock edge
is 0.75 ns, so the maximum frequency is 1/0.75 ns = 1.33 GHz.
Comment: The clock frequency may need to be lower due to other delay paths that pass outside of the circuit
into its environment. Calculation of this frequency cannot be performed in this case since data for paths through
the environment is not provided.

1

www.elsolucionario.org


Problem Solutions – Chapter 6

6-13.* (Errata: Change "32 X 8" to "64 X 8" ROM)
IN

000000
000001
000010
000011
000100
000101
000110
000111
001000
001001
001010
001011
001100
001101
001110
001111

OUT
0000 0000
0000 0001
0000 0010
0000 0011
0000 0100
0000 0101
0000 0110
0000 0111
0000 1000
0000 1001
0001 0000
0001 0001

0001 0010
0001 0011
0001 0100
0001 0101

IN
010000
010001
010010
010011
010100
010101
010110
010111
011000
011001
011010
011011
011100
011101
011110
011111

OUT
0001 0110
0001 0111
0001 1000
0001 1001
0010 0000
0010 0001

0010 0010
0010 0011
0010 0100
0010 0101
0010 0110
0010 0111
0010 1000
0010 1001
0011 0000
0011 0001

IN
100000
100001
100010
100011
100100
100101
100110
100111
101000
101001
101010
101011
101100
101101
101110
101111

OUT

0011 0010
0011 0011
0011 0100
0011 0101
0011 0110
0011 0111
0011 1000
0011 1001
0100 0000
0100 0001
0100 0010
0100 0011
0100 0100
0100 0101
0100 0110
0100 0111

IN
110000
110001
110010
110011
110100
110101
110110
110111
111000
111001
111010
111011

111100
111101
111110
111111

OUT
0100 1000
0100 1001
0101 0000
0101 0001
0101 0010
0101 0011
0101 0100
0101 0101
0101 0110
0101 0111
0101 1000
0101 1001
0110 0000
0110 0001
0110 0010
0110 0011

6-19.*
Assume 3-input OR gates.
W

0

0


1

C
1 1

0 1 1
d d d

1
d

1

0

0

1

d

C
0

A

0 0

1


d

B

D
W = A + BC + BD

A

C

0

d

d

d

d

0

1

d

d


B

D
X = BC D + BC + BD

A

C

1

0

1

0

1

0

0

1

1

0

1


0

1

0

0

1

d

d

d

d

d

d

d

d

1

0


d

d

1

0

d

d

D
Y = CD + C D

B
A

B

D
Z=D

Each of the equations above is implemented using one 3-input OR gate. Four gates are used.

2

www.elsolucionario.org



Solutions to Problems Marked with a * in
Logic and Computer Design Fundamentals, 4th Edition

Chapter 7
© 2008 Pearson Education, Inc.

7-2. *
1001 1001
1100 0011
1000 0001

AND

1101 1011

OR

0101 1010

XOR

7-4.*
sl 1001 0100

sr 0110 0101

7-5.*
Qi remains connected to MUX data input 0. Connect Di to MUX data input 1 instead of Mux data input 3. Connect Qi-1 to
MUX data input 2 instead of MUX data input 1. Finally, 0 is connected to MUX data input 3.


7-6.*
a) 1000, 0100, 0010, 0001, 1000. ...
b) # States = n

1

www.elsolucionario.org


Problem Solutions – Chapter 7

7-13.*
The equations given on page 364-5 can be manipulated into SOP form as follows: D1 =
Q1, D 2 = Q2 ⊕ Q 1Q8 = Q1Q2Q8 + Q1Q2 + Q2Q8, D4 = Q 4 ⊕ Q1Q2 = Q 1Q2Q4 + Q1Q4
+ Q2Q4, D8 = Q8 ⊕ (Q1Q8 + Q1Q2Q4) = Q8(Q1Q8+Q 1Q2Q4) + Q8(Q1 + Q8)(Q1 + Q2
+ Q4) = Q1Q2Q4Q8 + Q1 Q8. These equations are mapped onto the K-maps for Table
7-9 below and meet the specifications given by the maps and the table.
Q2

D1

D2

1 0 0 1
1 0 0 1
Q8 X X X X
1 0 X X
Q1


Q4

0 0
1

1 0
0 1

1

X X
Q8 X X
0 0 X X
Q1

0

1
0 1

Q8 X X X X
0 0 X X
Q1
D8
Q2

Q2

D4


Q2
0 1
0 1

0
Q4

0

Q4

To add the
change D1 to:

enable,

D1 = Q 1 ⊕ EN.

0

0
1 0

For the other three funcQ4 tions, AND EN with the
expression XORed with
Q8 X X X X
the state variable. The
1 0 X X
circuit below results.
Q1

0

0

Q1

D

Y

C

D

EN

Q2

C

D

Q4

C

D

Q8


C

Clock

7-14.*

Present state

Next state

A

A

0
0
0
0
1
1

B

C

0
0
1

0

1
0

0
0
1
1
0
0

0
1
0
1
0
1

0
0
0
1
1
0

B

C

0
1

0

1
0
0

0
1
1
0
0
0

1
0
1
0
1
0

a) DB = C
DC = B C

b) DA = BC + AC
DB = A BC + BC
DC = C

2

www.elsolucionario.org



Problem Solutions – Chapter 7

7-17.*

C3
Clock

R1

R2

Load

Load

7-19.*
R1
LOAD
C

C2 C1 C0

D0
D1
D2
D3

Q0

Q1
Q2
Q3

R2
LOAD
C
D0
D1
D2
D3

Q0
Q1
Q2
Q3

Clock

7-24.*
a)

CLK

CTR 4

R2
REG 4
D(0-3) Q(0-3)


0

CI

ADD 4

C1
C1
C2

A(0-3) C(0-3)
B(0-3)
CO

Load
Count

R1

D(0-3) Q(0-3)
CO

R1

b)

REG 4
D(0-3) L Q(0-3)

C1

C2

CI

ADD 4

A(0-3) C (0-3)
B(0-3)
CO

R2
REG 4
D(0-3) Q(0-3)
L

Clock

3

www.elsolucionario.org


Problem Solutions – Chapter 7

7-27.*
a) Destination <- Source Registers
R0 <- R1, R2
R1 <- R4
R2 <- R3, R4
R3 <- R1

R4 <- R0, R2

b) Source Registers -> Destination
R0 -> R4
R1 -> R0, R3
R2 -> R0, R4
R3 -> R2
R4 -> R1, R2

c) The minimum number of buses needed for operation of the transfers
is three since transfer Cb requires three different sources.
d)

R0

R1

R2

R3

MUX

R4

MUX

MUX

7-30.*

0101, 1010, 0101, 1010, 1101, 0110, 0011, 0001, 1000

7-31.*
Shifts:
A
B
C

0
0111
0101
0

1
0011
0010
1

2
0001
0001
1

3
1000
0000
1

4
1100

0000
0

7-32.*
Default: Z1 = 0, Z2 = 0

X1 + X2

X1

X1 · X2

S0

X1

S1

X1 + X2

Z1

Z2

Reset

S2

X1 + X2


7-33.*
State: STA, STA, STB, STC, STA, STB, STC, STA, STB
Z: 0,
0,
1,
1,
0,
0,
1,
0,
-

4

www.elsolucionario.org


×