Tải bản đầy đủ (.pdf) (72 trang)

BÀI GIẢNG THỰC HÀNHVI XỬ LÝ- VI ĐIỀU KHIỂN

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (2.39 MB, 72 trang )



BỘ CÔNG THƯƠNG

TRƯỜNG ĐẠI HỌC KINH TẾ - KỸ THUẬT CÔNG NGHIỆP
KHOA ĐIỆN ĐIỆN TỬ - BỘ MÔN ĐIỆN TỬ






BÀI GIẢNG THỰC HÀNH
VI XỬ LÝ- VI ĐIỀU KHIỂN






Đối tượng: HSSV trình độ Đại học
Ngành đào tạo: Công nghệ kỹ thuật Điện tử-truyền thông












Lưu hành nội bộ


M
ẪU

ĐT
-
BG
-
01



MỤC LỤC

LỜI GIỚI THIỆU
I
Bài 1. GIỚI THIỆU VI ĐIỀU KHIỂN 8051 VÀ CÁC MẠCH CƠ BẢN 1
1.1. Hướng dẫn ban đầu
1
1.1.1. Giới thiệu sơ đồ chân vi điều khiển 8051 1
1.1.2. Mạch cấp nguồn dùng LM7805 2
1.1.3. Mạch tạo dao động 3
1.1.4.Mạch reset 3
1.2. Hướng dẫn thường xuyên
4
Bài 2. HỆ THỐNG ĐIỀU KHIỂN LED ĐƠN 5

2.1. Hướng dẫn ban đầu
6
2.1.1. Cấu tạo và hoạt động của led đơn 6
2.1.2. Các phương pháp điều khiển led đơn 6
2.1.3. Điều khiển day led đơn sáng tắt các kiểu 7
2.2. Hướng dẫn thường xuyên
9
Bài 3. HỆ THỐNG ĐIỀU KHIỂN LED 7DDOANJ NỐI TRỰC TIẾP 10
3.1. Hướng dẫn ban đầu
11
3.1.1. Cấu tạo,sơ đồ nguyên lý và sơ đồ chân led 7 đoạn 11
3.1.2. Bảng hoạt động và cách tạo mã led 7 đoạn 13
3.1.3. Hiển thị các số trên 1 led 7 đoạn 14
3.1.4. Hiển thị các số trên 2 led 7 đoạn 16
3.2. Hướng dẫn thường xuyên
18
Bài 4. HỆ THỐNG GIẢI MÃ LED 7 ĐOẠN QUA IC 7447 19
4.1. Hướng dẫn ban đầu
20
4.1.1. Cấu tạo,hoạt động và sơ đồ chân ic7447 20
M
ẪU

ĐT
-
BG
-
02



4.1.2. Hiển thị các số trên 1 led 7 đoạn 21
4.1.3. Hiển thị các số trên 2 led 7 đoạn 22
4.2. Hướng dẫn thường xuyên
24
Bài 5. HỆ THỐNG QUÉT LED 7 ĐOẠN 25
5.1. Hướng dẫn ban đầu
26
5.1.1. Quét 2 led 7 đoạn 26
5.1.2. Quét nhiều led 7 đoạn 29
5.2. Hướng dẫn thường xuyên
31
Bài 6. HỆ THỐNG QUÉT MA TRẬN LED 32
6.1. Hướng dẫn ban đầu
33
6.1.1. Cấu tạo và cách tạo mã trên ma trận led 8*8 33
6.1.2. Hiển thị chữ đứng yên trên ma trận led 8*8 34
6.1.3. Chạy chữ trên ma trận led 8*8 36
6.2. Hướng dẫn thường xuyên
38
Bài 7. HỆ THỐNG ĐIỀU KHIỂN PHÍM ĐƠN 39
7.1. Hướng dẫn ban đầu
40
7.1.1. Cấu tạo,nguyên tắc hoạt động của phím đơn 40
7.1.2. Điều khiển 1 phím đơn 41
7.1.3. Điều khiển nhiều phím đơn 43
7.2. Hướng dẫn thường xuyên
46
Bài 8. HỆ THỐNG ĐIỀU KHIỂN MA TRẬN PHÍM 47
8.1. Hướng dẫn ban đầu
48

8.1.1. Cấu tạo ma trận phím 4*4 48
8.1.2. Điều khiển ma trận phím 48
8.2. Hướng dẫn thường xuyên
53
Bài 9. HỆ THỐNG ĐIỀU KHIỂN LCD 54

9.1. Hướng dẫn ban đầu
55
9.1.1. Cấu tạo và nguyên lý hoạt động của LCD 55
9.1.2. Hiển thị thông tin đứng yên trên LCD 56
9.1.3. Hiển thị thông tin di chuyển trên LCD 58
9.2. Hướng dẫn thường xuyên
59
TÀI LIỆU THAM KHẢO
60
DANH MỤC TỪ KHÓA
61










1

LỜI GIỚI THIỆU


Kỹ thuật vi xử lý-vi điều khiển là một lĩnh vực quan trọng trong các ngành điện
tử-viễn thông, tự động hóa, công nghệ thông tin.
Ngày nay, vi xử lý-vi điều khiển có mặt trong hầu hết tất cả các thiết bị dân
dụng, công nghiệp. Từ các hệ thống gia dụng như máy giặt, điều hòa, tủ lạnh đến các
hệ thống công nghiệp như các hệ thống viễn thông, các dây chuyền tự động hóa.
Chính vì vậy để làm chủ công nghệ cũng như sử dụng hiệu quả các hệ thống có vi xử
lý, các kỹ sư điện tử viễn thông, tự động hóa, công nghệ thông tin phải nắm vững kiến
thức về vi xử lý- vi điều khiển.
Để làm chủ và điều khiển tốt các hệ thống vi xử lý, ngoài việc nắm bắt lý thuyết,
người học còn phải có kỹ năng thực hành thành thạo.Sau khi đã hoc, nghiên cứu về vi
xử lý- vi điều khiển trong phần lý thuyết, sinh viên phải bắt đầu tiến hành thực hiện
các bài thí nghiệm đối với vi điều khiển nhằm mục đích giúp chúng ta hiểu tường tận
hơn những gì đã học trong lý thuyết và vận dụng nó vào thực tế. Trong thực tế, các
ứng dụng của vi điều khiển rất đa dạng và phong phú.Từ những ứng dụng đơn giản
chỉ có vài thiết bị ngoại vi cho đến những hệ thống vi điều khiển phức tạp.
Để đáp ứng với yêu cầu học tập của Sinh viên chuyên ngành kỹ thuật điện tử-
truyền thông, Trường Đại học kinh tế kỹ thuật công nghiệp tổ chức biên soạn bài
giảng thực hành“Vi xử lý-Vi điều khiển”. Đây là một học phần chuyên ngành của
Sinh viên chuyên ngành kỹ thuật điện tử-truyền thông. Học phần cung cấp những kỹ
năng cơ bản và chuyên sâu về lĩnh vực vi xử lý-vi điều khiển.
Bài giảng được biên soạn theo đúng chương trình đào tạo và các quy định về
cách trình bày của Nhà trường. Nội dung của bài giảng bao gồm 9 bài thực hành,
trong mỗi bài bao gồm các phần nội dung chủ yếu như sau:
- Mục đích.
- Yêu cầu.
- Dụng cụ, vật tư.
- Nội dung thực hành.
- Kiểm tra đánh giá.
- Hướng dẫn tự học ở nhà.

Do thời gian và trình độ có hạn nên bài giảng khó có thể tránh khỏi những thiếu
sót nhất định. Chúng tôi luôn mong nhận được sự góp ý của bạn đọc để bài giảng
được tái bản hoàn thiện hơn trong những lần sau.
Xin chân thành cám ơn!
M
ẪU

ĐT
-
BG
-
03


2

Nhóm biên soạn






Đào Hưng
Nhóm sửa chữa














3
BỘ CÔNG THƯƠNG
TRƯỜNG ĐẠI HỌC KINH TẾ - KỸ THUẬT CÔNG NGHIỆP
KHOA ĐIỆN - ĐIỆN TỬ
***








ĐỀ CƯƠNG CHI TIẾT HỌC PHẦN
THỰC TẬP 4
VI XỬ LÝ
(Theo phương thức đào tạo theo học chế tín chỉ)


Số tín chỉ : 02
Ngành đào tạo:
CÔNG NGHỆ ĐIỆN TỬ VIỄN THÔNG


Trình độ đào tạo: Đại học














- Năm 2007 -

M
ẪU

ĐT
-
BG
-
04

BỘ CÔNG THƯƠNG
TRƯỜNG ĐẠI HỌC KT-KT CÔNG NGHIỆP
KHOA ĐIỆN – ĐIỆN TỬ


CỘNG HOÀ XÃ HỘI CHỦ NGHĨA VIỆT NAM

Độc lập - Tự do - Hạnh phúc

CHƯƠNG TRÌNH TRÌNH ĐỘ ĐẠI HỌC
NGÀNH ĐÀO TẠO:
CÔNG NGHỆ ĐIỆN TỬ VIỄN THÔNG

ĐỀ CƯƠNG CHI TIẾT HỌC PHẦN
1. Tên học phần: Thực tập 4 (Vi xử lý) Mã số:
2. Số tín chỉ: 02.
3. Tính chất học phần: Bắt buộc.
4. Học phần thay thế, tương đương: Không.
5. Phân bổ thời gian giảng dạy trong học kỳ: 3(1, 2)/ 3 (3 tuần thực học)
(1 tuần thực tập cơ bản, 2 tuần thực tập KTV)
- Số giờ lên lớp: 40 giờ/tuần x 3 tuần = 120 giờ.
- Số tiết chuẩn:
1 tuần TT CB x 12,5 tiết/tuần + 2 tuần TT KTV x 12,5 tiết/tuần = 37,5 tiết chuẩn
6. Đánh giá: Theo quy chế và quy định của Nhà trường.
7. Điều kiện học:
- Học phần tiên quyết: Học xong các học phần kỹ thuật vi xử lý.

- Học phần học trước: Không.
- Học phần song hành: Không.
- Ghi chú khác:
8. Mục tiêu của học phần:
Học phần này trang bị cho sinh viên những kiến thức chuyên môn sâu về các
bộ vi xử lý, các giao tiếp của bộ vi xử lý với các thiết bị ngoại vi.
9. Mô tả vắn tắt nội dung học phần:

Rèn cho sinh viên kỹ năng cơ bản về tổ chức lao động, tác phong nghề
nghiệp, các kỹ năng thực hành về thiết kế mạch điện tử có sử dung các bộ vi
xử lý, cách điều khiển thiết bị ngoại vi bằng phần mềm thông qua vi xử lý.

10
.
Nhiệm vụ của Sinh viên:
Chấp hành tốt các nội quy, quy chế về an toàn của xưởng thực tập.
Tham gia học tập trên lớp đầy đủ, đúng giờ.
Thực hiện tốt các nội dung, bài tập thực hành mà giáo viên hướng dẫn để ra.

11.Tài liệu học tập:
Bài giảng thực hành "Vi xử lý" Trường đại học Kinh tế - Kỹ thuật Công nghiệp

12.Tài liệu tam khảo:
Kỹ thuật Vi xử lý - Văn Thế Minh - NXB Giáo dục-2004

5



13. Tiêu chuẩn đánh giá Sinh viên:
- Theo quyết định số 43/2007/QĐ-BGD&ĐT ngày 15 tháng 08 năm 2007 của Bộ
trưởng Bộ Giáo dục và Đào tạo.
- Theo quyết định số 25/2006/QĐ-BGD&ĐT ngày 26 tháng 06 năm 2006 của Bộ
trưởng Bộ Giáo dục và Đào tạo.
- Theo quyết định số 29/QĐ-ĐHKTKTCN ngày 09 tháng 10 năm 2007 của Hiệu
trưởng Trường Đại học Kinh tế - Kỹ thuật Công nghiệp.

14. Cán bộ tham gia giảng dạy

Là giáo viên cơ hữu, giáo viên kiêm nhiệm, giáo viên thỉnh giảng do Khoa, Bộ
môn quản lý, phân công giảng dạy khi có đủ các điều kiện, tiêu chuẩn, được Hiệu
trưởng duyệt.

15. Nội dung chi tiết học phần

STT Nội dung
Số giờ
1 Bài 1: Giới thiệu vi điều khiển 8051 và các
mạch cơ bản
8
1.1 Giới thiệu sơ đồ chân vi điều khiển 8051 2
1.2 Mạch cấp nguồn dùng LM7805 2

1.3 Mạch tạo dao động 2

1.4 Mạch reset 2
2 Bài 2: Hệ thống điều khiển led đơn 16
2.1 Cấu tạo và hoạt động của led đơn 1
2.2 Các phương pháp điều khiển led đơn 1

2.3 Điều khiển dãy led đơn sáng tắt các kiểu 14

6
STT Nội dung
Số giờ
3 Bài 3: Hệ thống điều khiển led 7 đoạn nối trực
tiếp
16
3.1 Cấu tạo, sơ đồ nguyên lý và sơ đồ chân led 7

đoạn
1
3.2 Bảng hoạt động và cách tạo mã led 7 đoạn 1
3.3 Hiển thị các số trên 1 led 7 đoạn 6

3.4 Hiển thị các số trên 2 led 7 đoạn 8
4 Bài 4: Hệ thống giải mã led 7 đoạn qua ic 7447

8
4.1 Cấu tạo, hoạt động và sơ đồ chân ic 7447 1
4.2 Hiển thị các số trên 1 led 7 đoạn 1

4.3 Hiển thị các số trên 2 led 7 đoạn 6
5 Bài 5: Hệ thống quét led 7 đoạn 16
5.1 Quét 2 led 7 đoạn 8

5.2 Quét nhiều led 7 đoạn 8
6 Bài 6: Hệ thống quét ma trận led 16
6.1 Cấu tạo và cách tạo mã trên ma trận led 8*8 2
6.2 Hiển thị chữ đứng yên trên ma trận 6

6.3 Chạy chữ trên ma trận 8
7 Bài 7: Hệ thống điều khiển phím đơn 16
7.1 Cấu tạo và cách sử dụng phím đơn 1
7.2 Điều khiển 1 phím 3

7.3 Điều khiển nhiều phím 12
8 Bài 8: Hệ thống điều khiển ma trận phím 8

7

STT Nội dung
Số giờ

8.1 Cấu tạo ma trận phím 4*4 2

8.2 Điều khiển ma trận phím 6
9 Bài 9: Hệ thống điều khiển LCD 16
9.1 Cấu tạo và nguyên lý hoạt động của LCD 4
9.2 Hiển thị dòng chữ đứng yên trên LCD 4

9.3 Hiển thị dòng chữ di chuyển trên LCD 8

TỔNG CỘNG
120



Duyệt Khoa, Tổ bộ môn
Hà Nôị, ngày tháng năm 2007
Người biên soạn


1
Bài 1
GIỚI THIỆU VI ĐIỀU KHIỂN 8051 VÀ CÁC MẠCH CƠ BẢN

MỤC ĐÍCH
- Giúp sinh viên nắm được cấu trúc, sơ đồ chân và hoạt động của vi điều khiển
8051.
- Hiểu hoạt động của các mạch cơ bản của vi điều khiển 8051 như mạch tạo dao

động, mạch reset, mạch nguồn
YÊU CẦU
- Nắm vững lý thuyết học phần : kỹ thuật vi xử lý
- Thao tác thành thạo các mạch cơ bản của vi điều khiển 8051 đúng kỹ thuật,
mỹ thuật.
DỤNG CỤ
STT Dụng cụ Đơn vị Số lượng
1 Kìm cắt dây Cái 1
2 Kéo Cái 1
3 Đồng hồ vạn năng Cái 1
4 Nguồn DC Cái 1

VẬT TƯ
STT Vật tư Đơn vị Số lượng
1 Bo cắm trắng Cái 1
2 IC AT89C51 Con 1
3 Thạch anh 12MHz Cái 1
4 Tụ 33pF Cái 2
5 Tụ 10uF Cái 2
6 Trở 8,2K Cái 1
7 Nút bấm nhỏ Cái 1
8 LM7805 Cái 1
9 Tụ 104 Cái 2

NỘI DUNG THỰC HÀNH
1.1. Hướng dẫn ban đầu
1.1.1. Giới thiệu sơ đồ chân vi điều khiển 8051
M
ẪU


ĐT
-
BG
-
05


2

- Cầm IC theo đúng chiều đánh dấu, giới thiệu thứ tự chân từ 1 đến 40 .
- Giới thiệu tên và chức năng các chân theo sơ đồ.
1.1.2. Mạch cấp nguồn dùng LM7805



3
- Giới thiệu chức năng linh kiện, nguyên lý hoạt động của mạch.
- Hướng dẫn lắp mạch theo sơ đồ nguyên lý .
1.1.3. Mạch tạo dao động

- Cấp xung cho vi điều khiển bằng thạch anh.
- Hướng dẫn lắp ráp mạch thạch anh và tính chu kỳ máy theo tần số thạch anh.
1MC=12*(1/f)
Với f=12MHz thì tính ra 1MC=1us
1.1.4. Mạch reset
- Hướng dẫn lắp mạch reset bằng tay.



4

BÀI TẬP THỰC HÀNH
- Tổ chức nhóm, thay đổi nội dung các công việc cho từng nhóm.
- Uốn nắm học sinh sử dụng các dụng cụ , thực hành lắp mạch
- Giải đáp những thắc mắc của học sinh
KIỂM TRA ĐÁNH GIÁ
Ý thức
(1 đ)
Thời gian
(1 đ)
Tổ chức thực
hiện ( 2 đ)
Hình thức
(1 đ)
Nội dung
(5 đ)
GV


HƯỚNG DẪN TỰ HỌC Ở NHÀ
-
Thiết kế mạch in cho các mạch cơ bản và lắp mạch trên mạch in.
- Tính toán chu kỳ máy với mạch thạch anh f =11,0592MHz
























5
Bài 2
HỆ THỐNG ĐIỀU KHIỂN LED ĐƠN

MỤC ĐÍCH
- Thực hành lập trình ứng dụng trên máy tính, biên dịch chương trình và nạp vào
vi điều khiển.
- Điều khiển thiết bị ngoại vi bằng các port của vi điều khiển.
- Điều khiển việc hiển thị bằng cách sử dụng led đơn.
- Viết các chương trình con tạo thời gian trễ sử dụng trong các ứng dụng điều
khiển.
YÊU CẦU
-
Nắm vững tập lệnh của vi điều khiển 8051.
- Biết cách viết các chương trình điều khiển led đơn ở các chế độ khác nhau.

- Nắm được nguyên lý điều khiển led đơn ở các chế độ khác nhau.
- Biết cách viết các chương trình tạo thời gian trễ với các khoảng thời gian bất
kỳ.
DỤNG CỤ
STT Dụng cụ Đơn vị Số lượng
1 Kìm cắt dây Cái 1
2 Kéo Cái 1
3 Đồng hồ vạn năng Cái 1
4 Nguồn DC Cái 1
5 Máy vi tính Bộ 1
6 Mạch nạp vi điều khiển Bộ 1
VẬT TƯ
STT Vật tư Đơn vị Số lượng
1 Bo cắm trắng Cái 1
2 IC AT89C51 Con 1
3 Thạch anh 12MHz Cái 1
4 Tụ 33pF Cái 2
5 Tụ 10uF Cái 2
6 Trở 8,2K Cái 1
7 Nút bấm nhỏ Cái 1
8 LM7805 Cái 1
9 Tụ 104 Cái 2
10 Led đơn nhỏ Cái 16
11 Trở 1K Cái 16


6
NỘI DUNG THỰC HÀNH
2.1. Hướng dẫn ban đầu
2.1.1. Cấu tạo và hoạt động của led đơn

- Led đơn là điot phát quang.
- Led sáng khi có dòng điện đi từ anode sang cathode.

2.1.2. Các phương pháp điều khiển led đơn
- Điều khiển theo phương pháp tích cực âm:











- Điều khiển theo phương pháp tích cực dương:











7
2.1.3. Điều khiển dãy led đơn sáng tắt các kiểu

VD1: Điều khiển 8 Led đơn kết nối với vi điều khiển theo phương pháp điều khiển
tích cực dương như hình vẽ sáng dần từ trên xuống dưới.
- Hướng dẫn sinh viên lắp mạch như sơ đồ dưới

Cách 1: Ứng dụng lệnh xuất dữ liệu MOV
MAIN:
MOV P2,#00000000B
CALL DELAY
MOV P2,#00000001B
CALL DELAY
MOV P2,#00000011B
CALL DELAY
MOV P2,#00000111B
CALL DELAY
MOV P2,#00001111B
CALL DELAY
MOV P2,#00011111B
CALL DELAY

8
MOV P2,#00111111B
CALL DELAY
MOV P2,#01111111B
CALL DELAY
MOV P2,#11111111B
CALL DELAY
JMP MAIN

DELAY:
MOV R0,#200

LAP:MOV R1,#200
DJNZ R1,$
DJNZ R0,LAP
RET
END
- Hướng dẫn sinh viên sử dụng máy tính, mạch nạp và các phần mềm để viết chương
trình, dịch và nạp chương trình vào vi điều khiển.

Cách 2: Ứng dụng các lệnh quay
MAIN:
MOV A,#00000000B
MOV P2,A
CALL DELAY
QUAY:
SETB C
RLC A
MOV P2,A
CALL DELAY
CJNE A,#11111111B,QUAY
JMP MAIN

BÀI TẬP THỰC HÀNH
- Chia nhóm làm các bài tập điều khiển dãy led đơn.
Sử dụng mạch vừa lắp trên làm các bài sau

9
Bài 2.1: Điều khiển 8 led sáng dần từ dưới lên trên?
Bài 2.2: Điều khiển 8 led sáng dần từ giữa ra hai bên?
Bài 2.3: Điều khiển 8 led sáng dần từ hai bên vào giữa?
Bài 2.4: Điều khiển 8 led sáng chạy 1 led từ trên xuống dưới?

Bài 2.5: Điều khiển 8 led sáng chạy 2 led từ giữa ra hai bên?
Bài 2.6: Điều khiển 8 led sáng tắt 5 lần?
Bài 2.7: Điều khiển 8 led sáng đuổi từ hai bên vào giữa?
Bài 2.8: Điều khiển 8 led sáng đuổi từ trên xuống dưới?
Bài 2.9: Làm lại các hiệu ứng ở trên với phương pháp điều khiển Led tích cực âm?
Bài 2.10: Mở rộng điều khiển 16 Led
Bài 2.11: Mở rộng điều khiển 12 Led

- Hướng dẫn lắp mạch và viết chương trình
- Giải đáp các thắc mắc.

KIỂM TRA ĐÁNH GIÁ
Ý thức
(1 đ)
Thời gian
(1 đ)
Tổ chức thực
hiện ( 2 đ)
Hình thức
(1 đ)
Nội dung
(5 đ)
GV


HƯỚNG DẪN TỰ HỌC Ở NHÀ
- Thiết kế mạch in cho mạch điều khiển led đơn.
- Kết hợp các kiểu sáng tắt led đơn vào một bài, mỗi kiểu viết thành một chương
trình con.












10
Bài 3
HỆ THỐNG ĐIỀU KHIỂN LED 7 ĐOẠN NỐI TRỰC TIẾP

MỤC ĐÍCH
- Thực hành lập trình ứng dụng trên máy tính, biên dịch chương trình và nạp vào
vi điều khiển.
- Điều khiển thiết bị ngoại vi bằng các port của vi điều khiển.
- Điều khiển việc hiển thị bằng cách sử dụng led 7 đoạn theo phương pháp kết
nối thẳng.
- Điều khiển việc hiển thị các thông tin và số liệu bằng cách sử dụng các bộ hiển
thị dùng led 7 đoạn.
YÊU CẦU

- Nắm vững tập lệnh của vi điều khiển 8051.
- Biết cách viết các chương trình led 7 đoạn kết nối thẳng.
- Nắm được sơ đồ và nguyên lý hoạt động của mạch led 7 đoạn nối thẳng.
- Nắm được nguyên lý điều khiển led 7 đoạn nối thẳng.
- Biết cách viết các chương trình điều khiển để hiển thị các thông tin và số liệu
trên các bộ hiển thị dùng led 7 đoạn.

DỤNG CỤ
STT Dụng cụ Đơn vị Số lượng
1 Kìm cắt dây Cái 1
2 Kéo Cái 1
3 Đồng hồ vạn năng Cái 1
4 Nguồn DC Cái 1
5 Máy vi tính Bộ 1
6 Mạch nạp vi điều khiển Bộ 1
7 Mỏ hàn
VẬT TƯ
STT Vật tư Đơn vị Số lượng
1 Bo phíp đồng Cái 1
2 IC AT89C51 Con 1
3 Thạch anh 12MHz Cái 1
4 Tụ 33pF Cái 2
5 Tụ 10uF Cái 2
6 Trở 8,2K Cái 1
7 Nút bấm nhỏ Cái 1
8 LM7805 Cái 1
9 Tụ 104 Cái 2
10 Led 7 đoạn com anode Cái 2

11
11 Trở 1K Cái 16
12 Thiếc Cuộn 1
13 Muối sắt Túi 1

NỘI DUNG THỰC HÀNH
3.1. Hướng dẫn ban đầu
3.1.1. Cấu tao, sơ đồ nguyên lý và sơ đồ chân led 7 đoạn

-Led 7 đoạn là một linh kiện hiển thị số gồm 7 led đơn dạng thanh ghép lại.













- Về cấu tạo có thể chia led 7 đoạn thành 2 loại như sau
Led 7 đoạn Com-Anode















12
Khi sử dụng loại led này ta đấu chân COM vào dương nguồn, còn điều khiển
sáng tắt các đoạn từ a đến g bằng các chân cathode, điều khiển mức 0 là sáng, mức 1
là tắt. Vì vậy loại led này còn gọi là led tích cực âm.
Led 7 đoạn Com-Cathode











Khi sử dụng loại led này ta đấu chân COM vào đất, còn điều khiển sáng tắt các
đoạn từ a đến g bằng các chân anode, điều khiển mức 1 là sáng, mức 0 là tắt. Vì vậy
loại led này còn gọi là led tích cực dương.
Về mặt nguyên lý cả 2 loại led trên đều có 8 chân gồn 1 chân Com và 7 chân
điều khiển như sau



















13
3.1.2. Bảng hoạt dộng và cách tạo mã led 7 đoạn
Bảng mã cho led Anode chung(a là MSB, dp là LSB)
Số a b c d e f g dp Mã HEX
0 0 0 0 0 0 0 1 1 03H
1 1 0 0 1 1 1 1 1 9FH
2 0 0 1 0 0 1 0 1 25H
3 0 0 0 0 1 1 0 1 0DH
4 1 0 0 1 1 0 0 1 99H
5 0 1 0 0 1 0 0 1 49H
6 0 1 0 0 0 0 0 1 41H
7 0 0 0 1 1 1 1 1 1FH
8 0 0 0 0 0 0 0 1 01H
9 0 0 0 0 1 0 0 1 09H

Bảng mã cho led Anode chung(a là LSB, dp là MSB)
Số dp g f e d c b a Mã HEX
0 1 1 0 0 0 0 0 0 0C0H
1 1 1 1 1 1 0 0 1 0F9H
2 1 0 1 0 0 1 0 0 0A4H
3 1 0 1 1 0 0 0 0 0B0H

4 1 0 0 1 1 0 0 1 99H
5 1 0 0 1 0 0 1 0 92H
6 1 0 0 0 0 0 1 0 82H
7 1 1 1 1 1 0 0 0 0F8H
8 1 0 0 0 0 0 0 0 80H
9 1 0 0 1 0 0 0 0 90H





14
Bảng mã cho led Cathode chung(a là MSB, dp là LSB)
Số a b c d e f g dp Mã HEX
0 1 1 1 1 1 1 0 0 0FCH
1 0 1 1 0 0 0 0 0 60H
2 1 1 0 1 1 0 1 0 0DAH
3 1 1 1 1 0 0 1 0 0F2H
4 0 1 1 0 0 1 1 0 66H
5 1 0 1 1 0 1 1 0 0B6H
6 1 0 1 1 1 1 1 0 0BEH
7 1 1 1 0 0 0 0 0 0E0H
8 1 1 1 1 1 1 1 0 0FEH
9 1 1 1 1 0 1 1 0 0F6H
Bảng mã cho led Cathode chung(a là LSB, dp là MSB)
Số dp g f e d c b a Mã HEX
0 0 0 1 1 1 1 1 1 3FH
1 0 0 0 0 0 1 1 0 06H
2 0 1 0 1 1 0 1 1 5BH
3 0 1 0 0 1 1 1 1 4FH

4 0 1 1 0 0 1 1 0 66H
5 0 1 1 0 1 1 0 1 6DH
6 0 1 1 1 1 1 0 1 7DH
7 0 0 0 0 0 1 1 1 07H
8 0 1 1 1 1 1 1 1 7FH
9 0 1 1 0 1 1 1 1 6FH

3.1.3. Hiển thị các số trên 1 led 7 đoạn
Nối 1 led 7 đoạn Com-Anode vào P2 của vi điều khiển theo thứ tự a nối P2.0 đến g
nối P2.6. Như thế ta sẽ dùng bảng mã cho led Com-Anode(a là LSB,dp là MSB).

×