Tải bản đầy đủ (.pdf) (28 trang)

Nghiên cứu điều khiển hệ truyền động biến tần đa mức có tính đến sự cố van bán dẫn TT

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (2.74 MB, 28 trang )

BỘ GIÁO DỤC VÀ ĐÀO TẠO
TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI

MAI VĂN CHUNG

NGHIÊN CỨU ĐIỀU KHIỂN HỆ TRUYỀN ĐỘNG
BIẾN TẦN ĐA MỨC CĨ TÍNH ĐẾN SỰ CỐ VAN BÁN DẪN

Ngành: Kỹ thuật điều khiển và Tự động hóa
Mã số: 9520216

TÓM TẮT LUẬN ÁN TIẾN SĨ
KỸ THUẬT ĐIỀU KHIỂN VÀ TỰ DỘNG HÓA

Hà Nội – 2021


Cơng trình được hồn thành tại:
Trường Đại học Bách khoa Hà Nội

Người hướng dẫn khoa học:
1. TS. Vũ Hoàng Phương
2. PGS. TS. Nguyễn Văn Liễn

Phản biện 1:
Phản biện 2:
Phản biện 3:

Luận án được bảo vệ trước Hội đồng đánh giá luận án tiến
sĩ cấp Trường họp tại Trường Đại học Bách khoa Hà Nội
Vào hồi …….. giờ, ngày ….. tháng ….. năm ………



Có thể tìm hiểu luận án tại thư viện:
1. Thư viện Tạ Quang Bửu - Trường ĐHBK Hà Nội
2. Thư viện Quốc gia Việt Nam


MỞ ĐẦU
Tính cấp thiết của đề tài
Hiện nay, hệ thống truyền động trung áp ngày càng phổ biến
trong công nghiệp. Qua cấu trúc điều khiển này nhận thấy rằng
bộ biến tần đa mức và các mạch vòng điều khiển là những khâu
quan trọng để đảm bảo chất lượng hệ truyền động điện công
suất lớn và điện áp cao. Tuy nhiên, biến tần đa mức yêu cầu
khối lượng lớn, phức tạp và mất nhiều thời gian xây dựng phần
cứng và mềm. Bên cạnh đó, với việc tăng số mức, khả năng lỗi
một hoặc nhiều van bán dẫn hồn tồn có thể xảy ra, dẫn đến hệ
thống sẽ dừng đột ngột hoặc làm việc trong điều kiện mất cân
bằng gây nguy hiểm cho hệ thống. Do đó, việc thiết kế thuật
tốn điều chế vector không gian thực hiện một cách thống nhất
áp dụng cho nghịch lưu với số mức mong muốn kể cả trong
trường hợp lỗi van bán dẫn là rất quan trọng và cần phải giải
quyết trong thực tế. Phương pháp điều khiển dự báo (FCS –
MPC) cho nghịch lưu đa mức đang là xu hướng nhờ các ưu
điểm: khái niệm trực quan, thiết kế đơn giản, điều khiển được
đa mục tiêu, không phân biệt về điều chế và điều khiển…Do đó
MPC cho phép giải quyết triệt để vấn đề cịn tồn tại của điều
chế vector không gian, như tối ưu tần số đóng cắt và triệt tiêu
điện áp common mode không thể thực hiện cùng một thời điểm.
Đồng thời để tăng độ tin cậy cho những đề xuất giải quyết trên
trong việc điều khiển nghịch lưu đa mức có xét đến trường hợp

sự cố van bán dẫn, thì việc đưa các kết quả nghiên cứu này vào
ứng dụng cụ thể nào đó chẳng hạn như hệ truyền động trung áp,
động cơ cơng suất lớn… là thực sự có ý nghĩa trong nghiên cứu
lý thuyết cũng như thực tiễn. Việc nghiên cứu này sẽ giúp cho
các kỹ sư thiết kế, vận hành hệ truyền động trung áp động cơ
được cấp nguồn bởi nghịch lưu đa mức có xét đến trường hợp
sự cố trở nên đơn giản hơn.
1


Đối tượng nghiên cứu
Biến tần đa mức cấu trúc cầu H nối tầng cấp nguồn cho hệ
truyền động KĐB trung thế
Mục tiêu của đề tài
Luận án nghiên cứu, đề xuất phương pháp điều chế vector
không gian được thực hiện một cách thống nhất áp dụng cho
CHB – MLI kể cả trong trường hợp lỗi hở mạch van bán dẫn;
thuật toán điều khiển dự báo dòng điện FCS – MPC cho CHB –
MLI cấp nguồn hệ truyền động không đồng bộ ba pha. Với hàm
mục tiêu được bổ sung thanh phần triệt tiêu điện áp common
mode và tối ưu dóng cắt van, thời gian tính tốn là nhỏ nhất.
Phạm vi nghiên cứu
Cấu trúc và phương pháp điều chế SVM cho biến tần mức điện
áp mong muốn; Thuật toán phát hiện, xử lí lỗi mạch lực CHB –
MLI; Phương pháp điều khiển dự báo dòng điện FCS – MPC
cho CHB – MLI.
Phương pháp nghiên cứu
Tổng hợp, phân tích, đánh giá các cấu trúc, phương pháp điều
chế SVM cho biến tần đa mức. Từ đó sẽ đề xuất phương pháp
điều chế SVM tổng quát hóa trong cả điều kiện bị lỗi van bán

dẫn công suất; Nghiên cứu, thiết kế bộ điều khiển mô-men theo
phương pháp dự báo FCS-MPC; Thực hiện mô phỏng
Matlab/Simulink; Thực hiện kiếm chứng tính đúng đắn lý
thuyết bằng thực nghiệm.
Ý nghĩa của đề tài:
Ý nghĩa khoa học: đơn giản hóa trong cách triển khai và dễ
dàng thực hiện tới mức mong muốn kể cả trong trường hợp lỗi
van bán dẫn. Bộ điều khiển dự báo dịng điện đề xuất có khả
năng triệt tiêu điện áp common mode, tối ưu tần số chuyển
mạch van bán đẫn, làm việc trong trường hợp van bán dẫn bình
thường, hoặc có lỗi.
Ý nghĩa thực tiễn: Với đóng góp của luận án giúp cho việc ứng
dụng của biến tần đa mức trong thực tế trở nên đơn giản, an
toàn.
2


Dự kiến kết quả đạt được
- Tổng quát hóa điều chế SVM cho CHB – MLI kể cả trong
trường hợp có lỗi van bán dẫn
- Bộ điều khiển dự báo dòng năng triệt tiêu điện áp common
mode, tối ưu tần số đóng cắt van bán dẫn, giảm khối lượng tính
tốn.
- Xây dựng mơ hình thực nghiệm nghịch lưu 11 mức cấu.
Bố cục luận án
Toàn bộ quyển luận án được chia thành bốn, Chương 1 Tổng
quan nghịch lưu đa mức cấu trúc cầu H nối tầng ứng dụng cho
hệ truyền động không đồng bộ; Chương 2 Điều khiển nghịch
lưu đa mức cầu H nối tầng dựa trên điều chế vector không gian.
Chương 3 Ứng dụng điều khiển dự báo cho mạch vòng dòng

điện cho nghịch lưu đa mức cầu H nối tầng. Chương 4 Thực
nghiệm.
Chương 1. Tổng quan nghịch lưu đa mức cấu trúc cầu H
nối tầng ứng dụng cho hệ truyền động không đồng bộ.
1.1. Biến tần đa mức cấu trúc cầu H nối tầng
Cấu trúc nghịch lưu đa mức cầu H nối tầng như sau:
Tải
A
VDC1

B

Z

C

Lưới điện
VDC 2

~

VDC 5

N
Máy biến áp

Pha A
Pha B
Pha C


Hình 1.4. Sơ đồ cấu trúc hệ thống nghịch lưu ba pha đa mức
cầu H nối tầng.
1.2. Phương pháp điều khiển mạch vòng dòng điện
1.2.1 Tổng quan các phương pháp thiết kế mạch vòng dòng
3


điện
Hiện nay, FOC vẫn được coi là phương pháp điều khiển tiêu
chuẩn trong công nghiệp. Theo nguyên lý điều khiển FOC với 2
mạch vịng điều chỉnh, mạch vịng ngồi là tốc độ và từ thơng,
mạch vịng trong là dịng điện. Do đó, phương pháp đã tách
được 2 thành phần điều khiển từ thơng và momen. Mạch vịng
dịng điện có đặc điểm phi tuyến, xen kênh và đóng vai trị quan
trọng về q trình từ hóa và điều khiển mơ-men động cơ. Chính
vì vậy, bộ điều khiển dịng điện đảm bảo các tiêu chí yêu cầu
bằng các phương pháp điều khiển như sau: các phương pháp
điều khiển tuyến tính, các phương pháp điều khiển phi tuyến
1.1.2. Phương pháp điều chế
Phương pháp điều chế vector khơng gian SVM có những ưu
điểm ở khả năng linh hoạt hơn nhiều so với PWM dựa trên sóng
mang. SVM có khả năng tạo ra quỹ đạo vector mong muốn có
dạng bất kỳ nhờ lựa chọn các vector trạng thái và các thời gian
phù hợp trong một chu kỳ điều chế. Bên cạnh đó, phương pháp
điều chế SVM cũng cho phép tạo ra những mẫu xung để BBĐ
có thể làm việc được trong cả trường hợp lỗi van công suất và
giảm được những ảnh hưởng tiêu cực do lỗi gây ra.
u cầu khối lượng tính tốn cao được coi là nhược điểm chính
của SVM:
m 1


N vectors chuan  1  6 i;
i 1

N vectors trangthai  m3

(1. 1)

Do đó, cần đơn giản hóa điều chế SVM là vấn đề thực tiễn đặt
ra. Đã có khá nhiều nghiên cứu về vấn đền đơn giản hóa điều
chế SVM cho CHB - MLI. Đã có các thuật tốn nhằm đơn giản
hóa điêu chế SVM cho CHB – MLI ở mức cao như: chuyển
sang hệ tọa độ 600, 1200 yêu cầu tính tốn hàm lượng giác phức
tạp với khối lượng tính tốn lớn; xây dựng tập hợp các hình lục
giác lồng nhau để tìm ra vector trạng thái, phương pháp này tính
tốn phức tạp, khối lượng tính tốn sẽ rất lớn khi mức cao. Vì
vậy, nghiên cứu này sẽ đề xuất phương pháp để đơn giản hóa
điều chế SVM
4


1.2.2. Phát hiện và xử lý của biến tần khi xảy ra lỗi van công
suất
Với việc tăng số mức, khả năng xảy ra lỗi một hoặc nhiều van
bán dẫn hoàn tồn có thể xảy ra. Thơng thường thiết bị bảo vệ
sẽ tác động để ngắt biến tần ra khỏi lưới điện nếu bị lỗi, dẫn đến
động cơ dừng làm việc. Việc dừng đột ngột động cơ trong thực
tế đôi khi có thể gây ra sự cố nghiêm trọng, ví dụ như hiện
tượng búa nước trong hệ thống bơm cột áp cao. Mặt khác, nếu
tiếp tục làm việc trong điều kiện lỗi có thể dẫn đến điện áp đầu

ra mất cân bằng gây nguy hiểm cho động cơ nếu chạy liên tục
trong một thời gian dài. Do đó, kỹ thuật xử lý trong điều kiện
xảy ra lỗi để duy trì hoạt động chủ động của biến tần là rất quan
trọng. Để đạt được điều đó, cần thực hiện 2 việc: (1) phát hiện
vị trí có lỗi; (2) cấu hình lại biến tần và thay đổi thuật toán điều
chế.
Luận án đề xuất thuật toán phát hiện lỗi van bán dẫn và xử lý
hệ thống khi có lỗi hở mạch van.
1.2.3. Phương pháp điều khiển dự báo dòng điện
* Phương pháp điều khiển dự báo (MPC):
Việc khơng có khâu điều chế giúp cho đáp ứng động học nhanh
hơn. Tuy nhiên, các bài tóa về tối ưu đóng cắt và giảm điện áp
common mode là chưa được thực. Bên cạnh đó hạn chế của
phương pháp điều khiển MPC là yêu cầu khả năng tính toán lớn
của bộ điều khiển. Đặc biệt, khi hệ truyền động được cấp nguồn
bởi biến tần đa mức thì số lượng vector điện áp tăng nhanh theo
số mức. Đã có đề xuất phương pháp sử dụng 7 vector điện áp
không gian liền kề giảm khối lượng tính tốn. Tuy nhiên, việc
giảm các lựa chọn vector điện áp của hàm mục tiêu sẽ làm ảnh
hưởng đến chất lượng bộ điều khiển đặc biệt là q trình q
độ, bên cạnh đó với hàm đa mục tiêu bao gồm sai lệch dòng
điện stator, tối ưu common mode, tối ưu đóng cắt các phương
pháp đề xuất là khó khả thi và sẽ làm cho chất lượng hệ truyền
động giảm xuống. Do đó, các phương pháp trên mới thử
nghiệm với hàm mục tiêu khơng có trọng số. Nghiên cứu sẽ đề
5


xuất bộ điều khiển dự báo dòng điện triệt điêu điện áp common
mode, tối ưu đóng cắt.

1.2.4. Phát hiện và xử lý lỗi của nghịch lưu đa mức khi xảy
ra lỗi van công suất
Với việc tăng số mức, khả năng xảy ra lỗi một hoặc nhiều van
bán dẫn hoàn toàn có thể xảy ra. Thơng thường thiết bị bảo vệ
sẽ tác động để ngắt nghịch lưu ra khỏi lưới điện nếu bị lỗi, dẫn
đến động cơ dừng làm việc. Việc dừng đột ngột động cơ trong
thực tế đơi khi có thể gây ra sự cố nghiêm trọng, ví dụ như hiện
tượng búa nước trong hệ thống bơm cột áp cao. Mặt khác, nếu
tiếp tục làm việc trong điều kiện lỗi có thể dẫn đến điện áp đầu
ra mất cân bằng gây nguy hiểm cho động cơ nếu chạy liên tục
trong một thời gian dài. Do đó, kỹ thuật xử lý trong điều kiện
xảy ra lỗi để duy trì hoạt động chủ động của nghịch lưu là rất
quan trọng. Để đạt được điều đó, cần thực hiện 2 việc: (1) phát
hiện vị trí có lỗi; (2) cấu hình lại nghịch lưu và thay đổi thuật
toán điều chế.
1.2.3. Định hướng nghiên cứu và dự kiến đóng góp của luận
án
Luận án tập trung nghiên cứu về phương pháp điều chế cho biến
tần đa mức cấu trúc cầu H nối tầng có xét đến lỗi van bán dẫn;
phát hiện và xử lý biến tần khi xảy ra lỗi của van bán dẫn; thiết
kế bộ điều kiện dự báo cho biến tần đa mức với các kết quả và
dự kiến đóng góp cho luận án cụ thể như sau: Đề xuất phương
pháp điều chế vector không gian được thực hiện một cách thống
nhất áp ụng cho CHB – MLI với số mức mong muốn kể cả
trong trường hợp lỗi hở mạch van bán dẫn; Đề xuất thuật tốn
thuật tốn điều khiển dự báo dịng điện triệt tiêu điện áp
common mode và tối ưu dóng cắt van, thời gian tính tốn là nhỏ
nhất;
1.4. Kết luận
Chương 1 đã trình bày tổng quan về cấu trúc của biến tần đa

mức, trên cơ sở phân tích các vấn đề nghiên cứu về biến tần đa
mức cấu trúc cầu H nối tầng về phương pháp điều chế, phát
6


hiện và xử lí lỗi cho biến tần khi xảy ra lỗi van, các phương
pháp điều khiển dòng điện trong hệ truyền động FOC – IM để
chỉ ra được các vấn đề cịn tồn tại. Qua đó, luận án đề xuất cách
giải quyết vấn đề còn tồn tại.
Chương 2. Điều khiển nghịch lưu đa mức cầu H nối tầng
dựa trên điều chế vector không gian
2.1. Điều khiển nghịch lưu đa mức cầu H nối tầng theo
nguyên lý FOC có xét đến tình huống sự cố hở van bán dẫn
2.1.1. Cấu trúc của hệ truyền động
Cấu trúc điều khiển biến tần đa mức cầu H nối tầng có xét đến
tình huống sự cố van bán dẫn, cấp nguồn cho hệ truyền động
không đồng bộ theo nguyên lý FOC thể hiện như Hình 2. 1
Vout

lỗi

*

isd


lỗi

ωmax
-ωmax


ω*new

*

*



αβ

usq dq

isq

u*sα
*

usβ

SVM cải
tiến

RI
isq

MHTT

isd


dq
αβ

isα
isβ

E

CHB - MLI

ω*

u*sd

IM

{

ѱrd*

lỗi
KHcell

Phát hiện
lỗi

αβ

ω


isabc

abc

Hình 2. 1. Cấu trúc điều khiển FOC cho IM cấp nguồn bởi
nghịch lưu đa mức có xét đến tình huống sự cố hở mạch van
bán dẫn
2.1.2. Trường hợp lỗi van bán dẫn
Như vậy với điện áp tối đa mà nghịch lưu có thể điều chế ở
(2.33) thì tốc độ tối đa mà động cơ có thể đạt được đối với tải
2
quạt gió ( mC ~  )

max   N

U S max
U SN

7

(2.7)


Với U SN , N là biên độ điện áp trên pha stator và tốc độ động
cơ khi làm việc ở chế độ định mức, U S max là biên độ điện áp
trên pha tối đa mà nghịch lưu có khả năng điều chế.
2.2. Phương pháp phát hiện sự cố hở mạch van bán dẫn
Để tiếp tục vận hành nghịch lưu đa mức cầu H nối tầng như
Hình 2.2 khi có sự cố hở mạch van, cần thực hiện: phát hiện ra
vị trí có lỗi; loại bỏ cầu H hỏi hệ thống; sử dụng phương pháp

điều chế SVM cải tiến trong trường hợp lỗi. Trong nội dung này
sẽ trình bày về phương pháp phát hiện nhanh cầu H bị lỗi.

A

HA2

Vout

HA1

C

Z

Phase C

Vdc

B
Phase B

Phase A

HAn

N
Hình 2.2. Cấu trúc cơ bản của CHB-MLI
Phương pháp phát hiện lỗi đề xuất sẽ phát hiện vị trí có lỗi dựa
trên sự bất thường của điện áp đầu ra ở cầu H. Điện áp đầu ra

của cầu H được đo về, chuẩn hóa rồi so sánh với tín hiệu điều
khiển tương ứng của cầu đó. Hai tín hiệu này sẽ được quan sát,
khi có sai lệch bất thường vượt qua những điều kiện cho trước,
cầu H bị coi là có lỗi và cần loại bỏ khỏi hệ thống để duy trì
tính ổn định. Phương pháp phát hiện lỗi được thể hiện ở Hình2.
3
8


standardized

timer

1

Vout_cellx

reset

-TH

T2

TH
-1

Fault signal
>CT2

Vc_cellx


yes

yes

reset

KHcellx

XOR

T1

error=1

>CT1

Hình 2.3. Sơ đồ thuật tốn phương pháp phát hiện
2.3. Tổng qt hóa điều chế vector khơng gian cho cho
nghịch lưu đa mức cấu trúc cầu H nối tầng có xét đến tình
huống lỗi van bán dẫn
2.3.1. Tổng qt hóa phương pháp điều chế SVM
Tìm
u*AZ , uBZ* , uCZ* vector
điện
áp đặt

v*

Xác định

Trật tự chuyển
vị trí Sector
mạch và hệ số
vector
D
điều chế
điện áp đặt

pi

Tìm mức trạng
thái các vector
chuẩn

kAN, kBN, kCN

ti

Tín hiệu đóng
cắt các van bán
dẫn

a)Phương pháp điều chế SVM tổng quát thơng thường
kAN, kBN, kCN
pi
Tìm
Tìm
Xác định
*
*

*
Trật tự chuyển
Tìm mức trạng
Tín hiệu đóng
*
u*AZ , uBZ
, uCZ
vector v vector vnew vị trí Sector
mạch và hệ số
thái không lỗi và
cắt các van bán
ti
điện
điện áp
vector
D
điều chế
có CMV nhỏ nhất
dẫn
áp đặt
đặt mới
điện áp đặt

b) Phương pháp điều chế SVM tổng quát khi xét tới lỗi.
Hình 2.6. Sơ đồ khối các bước triển khai phương pháp SVM
Các bước triển khai phương pháp SVM tổng quát thông thường
*
*
như sau: Từ điện áp 3 pha mong muốn u*AZ ; uBZ
, xác định

; uCZ
vector điện áp đặt v* ; Xác định vị trí v* , bao gồm xác định
sector và 3 vector chuẩn; Xác định trật tự sử dụng và hệ số điều
chế 3 vector chuẩn; Xác định mức trạng thái của vector chuẩn;
Tạo tín hiệu đóng, ngắt đến các van bán dẫn
2.3.2. Cấu hình lại biến tần và ảnh hưởng khơng gian vector
điện áp khi có tình huống sự cố hở mạch van bán dẫn
Biến tần được cấu hình lại khi xảy ra lỗi thể hiện trên Hình

9


Contactor tắt
khi khơng lỗi

HAn

HA2
Contactor bật
khi có lỗi

HA1

Hình 2.19. Cấu hình cầu H có thêm contactor ở đầu ra
Ảnh hưởng của lỗi tới khơng gian vector
Bảng 2.6 Vị trí của các vector không gian bị ảnh hưởng bởi các
cầu H bị lỗi.
Sector bị ảnh hưởng
Pha có
cầu lỗi

I
II
III
IV
V
VI
Pha A

0


0

Pha B
0


0


Pha C


0


0
Vị trí của các vector không gian bị lỗi gây ra bởi các cầu H bị
hỏng được tóm tắt ở Bảng 2.6. Khi một cầu H trong pha A gặp
sự cố sẽ dẫn đến một số vector chuẩn ở sector I, III, IV và VI bị

ảnh hưởng, nhưng nó khơng ảnh hưởng đến các vector chuẩn ở
sector II và V.
2.3.3. Những thay đổi của thuật toán điều chế SVM cho
nghịch lưu đa mức cầu H nối tầng có xét đến sự cố hở mạch
van bán dẫn.
Tìm vector điện áp đặt mới.
1
v 'max 
Vdc (m  1  emax )
(2.41)
3
Trong đó: m là số mức của biến tần
Xác định trạng thái không lỗi và có CMV nhỏ nhất của
vector chuẩn.
10


k AN  kBN  kCN 3k  2k x  k y
(2.44)

3
3
Từ kx; ky đã tính tốn trước, ta dễ dàng tìm được giá trị k thoản
mãn (2.36), đồng thời làm cho CMV nhận giá trị nhỏ nhất.
2.4. Kết quả mơ phỏng và đánh giá các thuật tốn đề xuất
Kết quả mô phỏng phát hiện và xử lý lỗi cho thấy phát hiện vị
trí sự cố đảm bảo yêu cầu: chính xác; nhanh (1ms)
kCMV 

Bình thường


Phát hiện lỗi

Lỗi

1
0
-1

1
0
-1

40
30

T2

20
10

0

T1

1

0.5
0


1ms

Fault signal

0.099

0.1

0.101

0.102

0.103

Hình 2.28. Dạng tín hiệu Vc_cellHA3 , KHcellHA3 , T1, T2, fault
signal của cầu HA3 trong trường hợp lỗi hở mạch.
* Kết quả mơ phỏng thuật tốn xử lí lỗi.
Sau thời điểm 0.2s, van S3 của các cầu HB1, HB3 và HB5 bị hở
mạch. Điện áp cực đại mà CHB-MLI có thể tạo ra giảm xuống,
điện áp đầu ra giảm còn 138V. Mức điện áp pha B giảm cịn 2
vì 3 cầu H của pha này bị lỗi. Điện áp CMV trong 2 trường hợp
có lỗi sẽ tăng lên do các trạng thái làm CMV nhỏ nhất bị lỗi nên
không thể sử dụng.
Kết quả mô phỏng về tốc độ khi xảy ra lỗi

11


Tốc độ được giới hạn thông qua giới hạn của điện áp. Từ đó, hệ
truyền động có thể duy trì hoạt động hoặc dừng chủ động hệ

thống.
Có lỗi

Khơng lỗi

Có lỗi
HA3, HB1, BH3, BH5
bị lỗi

Điện áp pha
nghịch lưu [V]

HA3 bị lỗi
200
100

0
-100
-200

0.05

0.1

0.15
a)

0.2

0.25


0.1

0.15

b)

0.2

0.25

Điện áp pha
trên tải [V]

200
100
0

-100
-200
0.05
6

Dòng điện
qua tải [A]

4

2
0

-2
-4

-6

Điện áp
common-mode [V]

c)
50

0

-50

0.05
Pha A

Pha B

0.1
Pha C

0.15

0.2

0.25

d)


Hình 2.30. Dạng sóng a) điện áp pha nghịch lưu b) điện áp pha
trên tải c) dòng điện qua tải d) CMV của hệ thống khi áp dụng
thuật toán SVM thông thường (I), cải tiến (II)
2.5. Kết luận chương 2
Thuật tóan khái quát hóa điều chế tổng quát hóa vector không
gian cho CHB – MLI kể cả trong trường hợp lỗi van bán dẫn đã
đạt được mục tiêu đề ra: Điều chế đến mức mong muốn yêu cầu
nhập vào là số mức, phát hiện lỗi chính xác sau 1 ms, mức độ
sụt giảm điện áp, tốc độ là nhỏ nhất khi có lỗi van.
Chương 3. Ứng dụng điều khiển dự báo cho mạch vòng
dòng điện cho nghịch lưu đa mức cầu H nối tầng
3.1. Thiết kế bộ điều khiển dự báo dòng điện cho nghịch lưu
đa mức cầu H nối tầng cấp nguồn cho hệ truyền động không
đồng bộ.
12


Trong nghiên cứu này, luận án sẽ đề xuất hàm mục tiêu có bổ
sung thành phần triệt tiêu điện áp common mode, tối ưu đóng
cắt van bán dẫn và giảm khối lượng tính tốn của hàm mục tiêu
được trình bày cụ thể ở phần dưới đây.
Vi điều khiển

Vout

lỗi

Phát hiện lỗi


BĐK từ thơng
PI
ab

_

IM

KHcell

Bộ điều khiển dự báo

E

lỗi

_

Tối thiểu
hóa hàm
mục tiêu
và xử lí lỗi

KHcell

{

dq
BĐK tốc độ
PI


Bảng
trạng thái
đóng cắt

Mơ hình
dự báo
dịng stator

Mơ hình
từ thơng rotor

ab
abc

Trích
mẫu

CBH - MLI

Trích
mẫu

Hình 3. 1. Cấu trúc điều khiển dự báo MPC cho nghịch lưu đa
mức cầu H nối tầng cấp nguồn hệ truyền động không đồng bộ
3.2. Đề xuất hàm mục tiêu bổ sung thành phần triệt tiêu
điện áp common mode và tối ưu đóng cắt cho nghịch lưu đa
mức cấu trúc cầu H nối tầng
3.3.1. Tối ưu điện áp common-mode
Để xử lý vấn đề tối ưu điện áp common-mode, cần phải bổ sung

thêm thành phần trong hàm mục tiêu của bộ điều khiển dự báo.
Thành phần này được biểu diễn trong phương trình (3.12)
g1 

vZN  k 

3.12

Vdc

Trong đó, vZN  k  là điện áp common-mode tại thời điểm thứ k.
3.3.2. Tối ưu số lần đóng cắt van bán dẫn
Véc tơ được chọn có thể là bất kì véc tơ nào, miễn là thỏa mãn
hàm mục tiêu. Do đó, số lần đóng cắt của van bán dẫn có thể rất
lớn. Điều này làm tăng tổn hao trên hệ thống do việc đóng cắt
van gây ra. Để kiểm sốt số lần đóng cắt của van, một thành

13


phần mới sẽ được thêm vào hàm mục tiêu. Thành phần này
được mơ tả trong phương trình (3.7)
g 2  S A  k   S A  k  1  S B  k   S B  k  1  SC  k   SC  k  1

(3.13)

Phương trình (3.7) có bản chất là độ thay đổi mức mỗi pha giữa
hai lần trích mẫu. Để thành phần tối ưu số lần đóng cắt làm việc
hiệu quả, tương ứng với mỗi mức điện áp pha, cần giữ cố định
cách lựa chọn số lượng cầu H tham gia điều chế cũng như cách

lựa chọn van đóng cắt.
3.3.3. Giảm thiểu khối lượng tính tốn hàm mục tiêu

V97
V66

V96

V41
V22
V9

V2
V0

V40
V21

V7

V95
V94

V64

V39

V20

V8

V1

V65

V63

V38
V19

V93

V62
V37

V61

V92
V91

Hinh 3.5. Minh họa một tập hợp 19 véc tơ.
Với phương án thực hiện như vậy, hàm mục tiêu chỉ được thực
hiện 19 lần trong mỗi chu kì trích mẫu, giảm được một lượng
lớn so với khi xét đến tồn bộ các véc tơ. Con số này sẽ khơng
đổi cho dù số mức của bộ nghịch lưu không phải 11 mức mà
nhiều mức hoặc ít mức hơn
3.3.4. Hàm mục tiêu của thuật toán MPC cải tiến
Nghiên cứu này đề xuất hàm mục tiêu cho phương pháp điều
khiển MPC như sau:

g  g0  cm g1  sw g2

14

(3.15)


Trong đó: cm là trọng số của tối ưu điện áp common mode.

sw là trọng số của tối ưu đóng cắt.
Vi điều khiển
BĐK từ thông
PI *

_

 rd/  k 
 k 
*

_
 k 

Bộ điều khiển dự báo

isd  k 
isq*  k 

dq

cm


Tối ưu điện áp
common-mode
(3.7)

sw

Tối ưu
đóng cắt
(3.8)

ab

s k 

is*ab  k 

isab  k 
Mơ hình
từ thơng rotor
(3.3)

Động cơ
IM

BĐK tốc độ
PI

 r/ab  k 

Nghịch lưu CHB


Mơ hình
dự báo
dịng stator
(3.2)

isab  k  1

isab  k 

Bảng
trạng thái
đóng cắt
(Bảng 3.1)

S k 
E

Tối ưu sai lệch
dòng điện
(3.5)

iabc
isabc  k 

ab
abc

 k 


Tối thiểu hóa V  k 
hàm mục tiêu
(3.9)

{

 rd*  k 



Trích
mẫu

Trích
mẫu

Hình 3.5. Cấu trúc điều khiển của thuật toán MPC cải tiến cho
hệ thống nghịch lưu đa mức nối tải động cơ.
3.4. Mơ phỏng kiểm chứng

Hình 3.12. Dạng dịng điện trên pha A.

HÌnh 3.13. Dạng điện áp common-mode.
15


(a)

(b)


HÌnh 3.16. Dạng điện áp trên pha A trước (a) và sau (b) khi tối
ưu đóng cắt.
- Dịng điện thực bám sát giá trị dòng điện đặt
- Giảm 43,6% số lượt chuyển mức
- Trong khoảng 2s đến 2,5s khi có lỗi đồng thời HA1, HA3, HB1
điện áp có thể tạo ra của biến tần nhỏ hơn điện áp định mức của
động cơ, do đó điện áp đã được giới hạn và nhỏ xuống tương
đương tốc độ làm việc dưới định mức.

Hình 3.28. Đáp ứng tốc độ với phương pháp điều khiển dư báo
dịng điện trong điều kiện lỗi vãn cơng suất
3.6. Kết luận chương 3
phương pháp điều khiển đã đảm bảo đồng thời các mục tiêu về:
tối ưu dòng điện điều khiển; triệt tiêu thành công điện áp
common mode; giảm số lượt chuyển mức của pha 43,6% so với
điều kiện khơng có trọng số giảm số lần đóng cắt; chỉ thực hiện
19 lượt tính tốn của hàm mục tiêu với mức bất kỳ của CHB –
MLI.
16


Chương 4. Xây dựng hệ thống thực nghiệm
4.1. Thực nghiệm thuật tốn tổng qt hóa điều chế SVM
4.1.1. Điều kiện thực nghiệm
Cấu trúc hệ thống thực nghiệm nghịch lưu 11 cầu H nối tầng
như hình dưới đây

Time

HÌnh 4.2. Hệ thống thực nghiệm nghịch lưu 11 mức cầu H nối

tầng
4.1.2. Triển khai thuật toán trên FPGA
Lưu đồ thuật toán triển khai thuật tốn SVM trên FPGA thể
hiện ở Hình 4.3
res et

enable

clock

Vref

Find Vref

FPGA Spartan 6

V*new
abc

αβ

vα, vβ
Find position of V ref

D, kx, ky,
mx, my

Saw tooth

Sector

Sector
D, kx , ky

mx , my
Find duty cycles

Find level sate
kAN

Ti

kBN

kCN

kAN, kBN, kCN

Find switching state
Puls es to switch

Hình 4.3. Sơ đồ khối thực hiện thuật toán triển khai điều chế
SVM tổng quát trên FPGA
17


4.1.3. Kết quả thực nghiệm
Dạng sóng điện áp trên pha có dạng 11 mức như mơ phỏng
được hiển thị trên oscilloscope trên hình Hình 4.4

Hình 4.4 Dạng sóng điện áp

Hình 4.5 Dạng sóng điện áp
pha 11 mức
trên tải
4.2. Kết quả thực nghiệm thuật toán SVM cho CHB – MLI
trong điều kiện lỗi.
4.2.1. Điều kiện thực nghiệm
Để thực nghiệm thuật toán phát hiện sự cố hở mạch van và
phương pháp SVM được đề xuất cho trường hợp lỗi, luận án sử
dụng cấu trúc như Hình 4.10
Kit
FPGA
Laptop
CHB-MLI
11 mức

Oscilloscope

Tải RL

Hình 4.10 Hệ thống thực nghiệm CHB-MLI phát hiện và xử lí
lỗi.
4.2.2. Triển khai thuật toán trên FPGA
Lưu đồ thuật toán SVM phát hiện và xử lí lỗi thể hiện như hình
18


Time

clock


res et

enable

Vc_ce ll x

Vref

Zybo Z 7-20

Find Vref

T2

V*new
abc

XOR

αβ

vα, vβ
Find position of V ref

Saw tooth

D, kx, ky,
mx, my

Sector

D, kx, ky

mx, my
Find duty cycles

fault
signal

Find level sate
kAN

Ti

Counnter
error T1

Sector

kBN

kCN

kAN, kBN, kCN
Find switching state
Delay

Puls es to s witch

Hình 4.11 Sơ đồ khối thực hiện thuật tốn điều chế SVM phát
hiện và xử lí lỗi trên FPGA

4.3.3. Kết quả thực nghiệm
fault

VCN

VBN

VAN

fault

VBN

VAN VCN

VBN VAN

VCN

250
200

150
100
50
0
-50
-100

-150

-200
-250

(1)

(2)

(3)

Hình 4.14. Điện áp đàu ra nghịch lưu.
Kết quả thực nghiệm đồng dạng mô phỏng
4.3. Thực nghiệm thuật toán MPC cải tiến tải RL
4.3.1. Điều kiện thực nghiệm
Sơ đồ cấu trúc bộ thực nghiệm MPC cho CHB – MLI nối tải
RL như Hình 4.20.
19


Bộ nghịch lưu
3 pha 11 mức

Kit Zybo
Z7-20

Mạch đo
dòng điện

Máy hiện sóng

Đồng hồ

chỉ thị số

Tải RL

Hình 4.20 Mơ hình thực nghiệm.
4.3.2. Kết quả thực nghiệm

Hình 4.23. Điện áp pha khi biên độ là 4A

Hình 4.24. Điện áp common mode
Kết quả thực nghiệm đồng dạng mơ phỏng
4.4. Thực nghiệm thuật tốn MPC cải tiến nối tải IM
20


4.4.1. Điều kiện thực nghiệm

Hình 4.28. Hình ảnh bộ thực nghiệm điều khiển MPC với tải IM
4.4.2. Triển khai thuật tốn trên FPGA
Hình 4.31 trình bày các hoạt động cần phải thực hiện trong
thuật toán trên FPGA tương ứng với cấu trúc điều khiển trình
bày ở Chương 3. Trong Hình 4.31 thể hiện q trình tính tốn
trong 1 chu kỳ trích mẫu Ts=50us.
a

Thời gian

b

reset


t(k)

SPI- SCLK 10 Mhz

Thời gian tính tốn
của khối RTL

10ns

A

B
50us

Timer

start
1ms

start

clk100

startB
10ns

ADC

3.39us


iab

start

abc

190ns

Clk100 :

clk100

αβ

Ψrd (k)

start

ω*

startB

ω
clk100

Ψ*rd

Speed
controller


Flux controller

3.85us

60ns

40ns

ω

Mơ hình ước
lượng từ thơng

Ψαβ (k) θs(k)

iαβ (k)

Encoder

clk100

clk100

isq

clk100

isd(k)


start

dq

isq

αβ

start

*
iαβ
(k)

clk100

V[k-1]

Tập hợp 19 vector liền kề

20ns
start

80ns

V1
g
(3.13)

start


G1

.

V2
g
(3.13)

V19

ω

g
(3.13)

.

.

G2

clk100

G19

clk100

Hold
50ns


min(G1,G2...G19,)
start

Zybo Z7-20

clk100

V[k]

Bảng Tối ưu đóng cắt

20ns

clk100

thồn thành(k)

...

s1 s2 s3 s4

s29 s30

Hình 4.29. Sơ đồ khối chức năng thực hiện thuật toán trên
FPGA
21


4.4.3. Kết quả thực nghiệm

ω [rad/s]

200

w
w ref

100

0

- 100
1

2

3

4

5

6

40

ω

[rad/s]


60

20
0
0.2

0.25
Time(s)

0.3

0.35

Hình 4.30. Đáp ứng tốc độ quay
- Tốc độ quay của động cơ bám nhanh và sát tốc độ đặt ngay cả
khi đảo chiều (tăng tốc từ 0 – 600 vòng/phút mất 0.1s) như
hình 4.30
4.5. Kết luận
Nội dung chương 4 đã xây dựng thành cơng bộ thực nghiệm
và các thuật tốn đề xuất. Các kết quả thực nghiệm đã kiểm
chứng thành công các thuật tốn đề xuất và chứng minh tính
khả thi trong triển khai thực tế. Tuy nhiên, với điều kiện về
trang thiết bị, an toàn..các nội dung thực nghiệm ở mức điện áp
chưa cao. Việc thực nghiệm với dải điện áp trung thế sẽ là các
nghiên cứu tiếp theo của nghiên cứu.
Kết luận và kiến nghị
Trong luận án này, các cơ sở lý thuyết, các phương pháp thiết
kế, xây dựng điều chế và điều khiển cho biến tần đa mức cấu
trúc cầu H nối tầng, trong điều kiện bình thường và lỗi van công
suất, khi cấp nguồn cho hệ truyền động khơng đồng bộ lần lượt

được trình bày.
Trước tiên, luận án tiến hành phân tích sự thay đổi của vector
trạng thái dư trong việc cùng tạo ra một vector điện áp với các
mức khác nhau và các công việc cần thiết khác. Từ đó, luận án
đã khái qt hóa thành cơng phương pháp điều chế SVM cho
22


CHB – MLI trong điều kiện tối ưu đóng cắt. Với thuật toán đề
xuất khi muốn xây dựng điều chế cho CHB – MLI mức nào đó,
chỉ cần nhập vào là số mức cho mạch tạo xung và xây dựng
mạch lực phù hợp.
Sau đó, dựa trên nghiên cứu về khái qt hóa điều chế vector
khơng gian tổng qt, luận án nghiên cứu CHB – MLI trong
điều kiện khơng bình thường (lỗi van bán dẫn cơng suất). Bằng
việc so sánh tín hiệu điều chế và tín hiệu thực đo được trên mỗi
cầu H, phương pháp đề xuất đã phát hiện được chính xác lỗi của
van sau 1ms. Tiếp theo, để hệ thống có thể tiếp tục làm việc, sẽ
tiến hành cấu hình lại hệ thống bằng cách loại bỏ cầu H bị lỗi
thông qua các contactor và thực hiện điều chế SVM trong
trường hợp có lỗi lỗi (cải tiến). Với việc loại bỏ vector điện áp
lỗi, giới hạn vùng điện áp có thể điều chế được, thuật tốn SVM
cải tiến cho phép CHB – MLI có thể tiếp tục làm việc với điện
áp ra có mức sụt giảm nhỏ nhất, đảm bảo chất lượng dịng điện,
điện áp. Các thuật tốn này được áp dụng cho hệ truyền động
FOC – IM cho kết quả đúng như yêu cầu công nghệ.
Cuối cùng, phát triển thuật tốn khái qt hóa điều chế SVM
trong điều kiện van bán dẫn làm việc bình thường và bị lỗi, luận
án xây dựng phương pháp điều khiển dự báo dòng điện cho
CHB – MLI cấp nguồn cho hệ truyền động không đồng bộ theo

nguyên lý FOC. Với bộ điều khiển dự báo dòng điện, nghiên
cứu này đã đề xuất thuật toán 19 vector điện áp liền kề và hàm
mục tiêu điều khiển đa biến. Kết quả khối lượng tính toán của
hàm mục tiêu cố định là 19 lượt trong một chu kỳ trích mẫu,
thời gian tính tốn là nhỏ nhất do được tính tốn song song, triệt
tiêu thành cơng điện áp common mode, giảm tần số chuyển
mạch van bán dẫn, có thể làm việc được trong điều kiện bình
thường và lỗi van công suất.

23


×