Tải bản đầy đủ (.pdf) (183 trang)

(Luận án tiến sĩ) nghiên cứu điều khiển hệ truyền động biến tần đa mức có tính đến sự cố van bán dẫn

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (17.47 MB, 183 trang )

LỜI CAM ĐOAN

Tơi xin cam đoan đây là cơng trình nghiên cứu của riêng tôi dƣới sự hƣớng dẫn
của các Thầy hƣớng dẫn và các nhà khoa học. Tài liệu tham khảo trong luận án
đƣợc trích dẫn đầy đủ. Các số liệu, kết quả trong luận án hoàn toàn trung thực và
chƣa từng đƣợc tác giả khác công bố.

Ngƣời hƣớng dẫn khoa học

Hà Nội, ngày tháng năm 2021
Tác giả luận án

Mai Văn Chung

i


LỜI CẢM ƠN

Luận án này đƣợc hoàn thành trên cơ sở những kết quả nghiên cứu của tôi tại
trƣờng Đại học Bách khoa Hà Nội. Sau một thời gian học tập nghiên cứu, tơi đã
hồn thành luận án này dƣới sự hƣớng dẫn của TS. Vũ Hoàng Phương và PGS.TS
Nguyễn Văn Liễn, Trƣờng Đại học Bách khoa Hà Nội.
Trƣớc hết, Tơi xin bày tỏ lịng biết ơn sâu sắc đối với sự chỉ dẫn tận tình của tập
thể các thầy hƣớng dẫn, những ngƣời đã dìu dắt, chia sẻ, quan tâm, tạo mọi điều
kiện, giúp đỡ kịp thời để tôi hồn thiện luận án này.
Tơi xin chân thành cảm ơn các Thầy Cơ trong bộ mơn Tự động hóa Cơng nghiệp,
đã cho tôi môi trƣờng làm việc chuyên nghiệp, năng động và có những ý kiến góp ý
chân thành, sâu sắc trong suốt q trình tơi học tập, làm việc, xây dựng thực nghiệm
cũng nhƣ từng bƣớc thực hiện luận án.
Tơi xin cảm ơn Ban giám hiệu, Phịng đào tạo, Viện Điện Trƣờng Đại học Bách


khoa Hà Nội đã tạo điều kiện thuận lợi nhất về nhiều mặt để tôi hồn thành luận án.
Tơi xin chân thành cảm ơn các anh chị em Nghiên cứu sinh của bộ môn Tự động
hóa Cơng nghiệp, những ngƣời ln cùng tơi đồng hành, luôn động viên, giúp đỡ
lẫn nhau, cùng trao đổi chuyên mơn, hỗ trợ tơi trong việc tìm kiếm tài liệu nghiên
cứu trong học tập để tơi có kết quả nhƣ ngày hơm nay.
Tơi xin chân thành cảm ơn nhóm nghiên cứu Điện tử cơng suất làm việc tại
phịng 203/C9 Bộ mơn Tự động hóa Cơng nghiệp, Viện Điện, Đại học Bách Khoa
Hà Nội, những ngƣời luôn cùng Tôi đồng hành, luôn động viên, giúp đỡ lẫn nhau,
cùng trao đổi chuyên môn, hỗ trợ trong nghiên cứu, triển khai thực nghiệm để tơi có
kết quả nhƣ ngày hơm nay.
Tơi xin bày tỏ lòng cảm ơn sự quan tâm, giúp đỡ, động viên và tạo điều kiện của
Ban giám hiệu trƣờng Đại học Hùng Vƣơng, Ban chủ nhiệm khoa Kỹ thuật Công
nghệ và các đồng nghiệp tại khoa Kỹ thuật Công nghệ, Trƣờng Đại học Hùng
Vƣơng đã giúp đỡ tạo điều kiện về mặt thời gian, công việc để Tôi học tập, nghiên
cứu một cách thuận lợi.
Sau cùng, tôi xin gửi lời cảm ơn sâu sắc nhất đến gia đình Tơi đã luôn quan tâm,
động viên và giúp đỡ để Tôi vƣợt qua mọi khó khăn để hồn thành luận án.
Hà Nội, ngày tháng năm 2021
Tác giả luận án

Mai Văn Chung
ii


MỤC LỤC
LỜI CAM ĐOAN ................................................................................................. i
LỜI CẢM ƠN ...................................................................................................... ii
MỤC LỤC .......................................................................................................... iii
DANH MỤC KÝ HIỆU...................................................................................... vi
DANH MỤC CHỮ VIẾT TẮT ........................................................................ viii

DANH MỤC BẢNG............................................................................................ x
DANH MỤC HÌNH VẼ, ĐỒ THỊ ...................................................................... xi
MỞ ĐẦU.............................................................................................................. 1
Chƣơng 1. Tổng quan nghịch lƣu đa mức cấu trúc cầu H nối tầng ứng dụng cho
hệ truyền động không đồng bộ ................................................................................... 5

1.1. Nghịch lƣu đa mức cấu trúc cầu H nối tầng ........................................... 5
1.2. Phƣơng pháp điều khiển mạch vòng dòng điện ...................................... 8
1.2.1 Tổng quan các phƣơng pháp thiết kế mạch vòng dòng điện ...................... 8
1.2.2. Phƣơng pháp điều chế.............................................................................. 10
1.2.3. Phƣơng pháp điều khiển dự báo dòng điện ............................................. 13
1.2.4. Phát hiện và xử lý lỗi của nghịch lƣu đa mức khi xảy ra lỗi van công suất
............................................................................................................................ 16

1.3. Định hƣớng nghiên cứu và dự kiến đóng góp của luận án .................. 21
1.4. Kết luận ................................................................................................ 21
Chƣơng 2. Điều khiển nghịch lƣu đa mức cầu H nối tầng dựa trên điều chế
vector không gian ..................................................................................................... 23

2.1. Điều khiển nghịch lƣu đa mức cầu H nối tầng có xét đến lỗi van bán
dẫn cấp nguồn cho hệ truyền động theo nguyên lý FOC ........................ 23
2.1.1. Cấu trúc của hệ truyền động .................................................................... 23
2.1.2. Mơ hình động cơ khơng đồng bộ ba pha ................................................ 26

2.2. Phƣơng pháp phát hiện sự cố hở mạch van bán dẫn ............................. 27
2.3. Tổng quát hóa điều chế vector không gian cho cho nghịch lƣu đa mức
cầu H nối tầng có xét đến tình huống lỗi van bán dẫn ............................ 31
2.3.1. Tổng quát hóa phƣơng pháp điều chế SVM ............................................ 31
2.3.1.1. Tìm vector điện áp đặt .................................................................. 32
2.3.1.2. Xác định vị trí của điện áp đặt ...................................................... 32

2.3.1.3. Hệ số điều chế và thứ tự chuyển mạch ......................................... 38
2.3.1.4. Xác định trạng thái của các vector chuẩn ..................................... 42
2.3.1.5. Tổ chức đóng ngắt van bán dẫn .................................................... 44
iii


2.3.2. Cấu hình lại nghịch lƣu và ảnh hƣởng khơng gian vector điện áp khi có
tình huống sự cố hở mạch van bán dẫn .............................................................. 44
2.3.2.1. Cấu hình lại nghịch lƣu khi bị lỗi ................................................. 44
2.3.2.2. Ảnh hƣởng của lỗi tới không gian vector ..................................... 45
2.3.3. Những thay đổi của thuật toán điều chế SVM cho nghịch lƣu đa mức cầu
H nối tầng có xét đến sự cố hở mạch van bán dẫn............................................. 47
2.3.3.1. Tìm vector điện áp đặt mới. .......................................................... 47
2.3.3.2. Trật tự chuyển mạch và hệ số điều chế. ........................................ 49
2.3.3.3. Xác định trạng thái không lỗi và có CMV nhỏ nhất của vector
chuẩn. ............................................................................................................. 49

2.4. Kết quả mơ phỏng và đánh giá các thuật tốn đề xuất ......................... 51
2.4.1. Tổng quát hóa điều chế trong điều kiện tối ƣu tần số đóng cắt ............... 51
2.4.2. Phát hiện và xử lý lỗi trong điều kiện tối ƣu điện áp common mode. ..... 54
2.4.3. Mô phỏng và đánh giá hệ truyền động FOC – IM cấp nguồn bởi nghịch
lƣu đa mức với các kịch bản khác nhau. ............................................................ 59

2.5. Kết luận chƣơng 2 ................................................................................. 69
Chƣơng 3. Ứng dụng điều khiển dự báo cho mạch vòng dòng điện của nghịch
lƣu đa mức cầu H nối tầng........................................................................................ 71

3.1. Thiết kế bộ điều khiển dự báo dòng điện cho nghịch lƣu đa mức cầu H
nối tầng cấp nguồn hệ truyền động không đồng bộ. ............................... 71
3.2. Đề xuất hàm mục tiêu bổ sung thành phần triệt tiêu điện áp common

mode và tối ƣu đóng cắt cho nghịch lƣu đa mức cấu trúc cầu H nối tầng
................................................................................................................. 76
3.2.1. Triệt tiêu điện áp common-mode ............................................................. 76
3.2.2. Tối ƣu số lần đóng cắt van bán dẫn ......................................................... 78
3.2.3. Giảm thiểu khối lƣợng tính tốn hàm mục tiêu ....................................... 80
3.2.4. Hàm mục tiêu của thuật toán MPC cải tiến ............................................. 81

3.3. Mô phỏng kiểm chứng .......................................................................... 83
3.3.1. Kết quả mơ phỏng với trƣờng hợp khơng có lỗi van bán dẫn ................. 83
3.3.2. Kết quả mô phỏng với trƣờng hợp lỗi van bán dẫn ................................. 94

3.4. Kết luận chƣơng 3 ................................................................................. 97
Chƣơng 4. Xây dựng hệ thống thực nghiệm ...................................................... 99

4.1. Thực nghiệm thuật toán tổng quát hóa điều chế SVM ......................... 99
4.1.1. Điều kiện thực nghiệm............................................................................. 99
4.1.2. Triển khai thuật toán trên FPGA ........................................................... 100
4.1.3. Kết quả thực nghiệm .............................................................................. 101
iv


4.2. Kết quả thực nghiệm thuật toán SVM cho CHB – MLI trong điều kiện
lỗi. .......................................................................................................... 102
4.2.1. Điều kiện thực nghiệm........................................................................... 102
4.2.2. Triển khai thuật toán trên FPGA ........................................................... 103
4.2.3. Kết quả thực nghiệm .............................................................................. 104
4.2.3.1. Thuật toán phát hiện lỗi. ............................................................. 104
4.2.3.2. Phƣơng pháp điều chế SVM trong trƣờng hợp có lỗi. ................ 105

4.3. Thực nghiệm thuật tốn MPC cải tiến tải RL ..................................... 108

4.3.1. Điều kiện thực nghiệm........................................................................... 108
4.3.2. Triển khai thuật toán trên FPGA ........................................................... 110
4.3.3. Kết quả thực nghiệm .............................................................................. 111

4.4. Thực nghiệm thuật toán MPC cải tiến nối tải IM ............................... 115
4.4.1. Điều kiện thực nghiệm........................................................................... 115
4.4.2. Triển khai thuật toán trên FPGA ........................................................... 117
4.4.3. Kết quả thực nghiệm .............................................................................. 118

4.5. Kết luận ............................................................................................... 122
Kết luận và kiến nghị ....................................................................................... 124
DANH MỤC CÁC CƠNG TRÌNH ĐÃ CÔNG BỐ CỦA LUẬN ÁN ........... 126
TÀI LIỆU THAM KHẢO ............................................................................... 128
PHỤ LỤC....................................................................................................... PL-1

Phụ lục 1. Hệ thống thực nghiệm nghịch lƣu 11 mức cầu H nối tầng..... PL-1
Phụ lục 2. Thiết kế mạch đo phát hiện lỗi................................................ PL-3
Phụ lục 3. Mạch đo dòng điện.................................................................. PL-5
Phụ lục 4. Xây dựng thuật toán MPC cải tiến cho nghịch lƣu đa mức cầu H
nối tầng nối tải trở cảm và mô phỏng kiểm chứng ............................. PL-7
Phụ lục 5. Chi tiết các bƣớc tính tốn tham số động cơ và tham số mô phỏng
........................................................................................................... PL-17
Phụ lục 6. Kit FPGA Spartan 6 và triển khai thuật tốn. ....................... PL-21
Phụ lục 7. Kít FPGA Z7 20 và Triển khai các thuật tốn bằng ngơn ngữ
VHDL trên nền tảng FPGA .............................................................. PL-27
Phụ lục 8. Sơ đồ cấu trúc mô phỏng trên Matlab – Simulink. ............... PL-42

v



MỞ ĐẦU
Tính cấp thiết của đề tài
Hiện nay, hệ thống truyền động trung áp ngày càng đƣợc sử dụng phổ biến
trong công nghiệp và giao thông vận tải. Hệ thống này động cơ thƣờng điều khiển
theo phƣơng pháp vector và đƣợc cấp nguồn bởi nghịch lƣu đa mức. Qua cấu trúc
điều khiển này, nhận thấy rằng ngoài các bộ điều khiển momen, tốc độ và vị trí thì
điều khiển nghịch lƣu đa mức cũng đóng vai trị quan trọng trong việc đảm bảo chất
lƣợng truyền động điện. Bởi vì bộ nghịch lƣu đa mức giúp cho quá trình sử dụng
linh kiện bán dẫn với kích thƣớc nhỏ hơn, dễ dàng trong quá trình thiết kế nhiệt của
thiết bị, đƣa ra dạng điện áp đầu ra có độ méo sóng hài (THD) thấp và tốc độ biến
thiên điện áp dv/dt thấp [1]. Tuy nhiên nghịch lƣu đa mức yêu cầu khối lƣợng, thời
gian tính tốn lớn, phức tạp và mất nhiều thời gian xây dựng phần cứng và mềm.
Bên cạnh đó, với việc tăng số mức, khả năng lỗi một hoặc nhiều van bán dẫn hồn
tồn có thể xảy ra [2] và chiếm 38% nguyên nhân xảy ra lỗi của nghịch lƣu đa mức
[3]. Thông thƣờng thiết bị bảo vệ sẽ tác động để ngắt bộ nghịch lƣu đa mức ra khỏi
lƣới điện nếu bị lỗi, dẫn đến động cơ dừng làm việc. Việc dừng đột ngột động cơ
trong thực tế đơi khi có thể gây ra sự cố nghiêm trọng, ví dụ nhƣ hiện tƣợng búa
nƣớc trong hệ thống bơm cột áp cao. Mặt khác, nếu tiếp tục làm việc trong điều
kiện lỗi có thể dẫn đến điện áp đầu ra mất cân bằng gây nguy hiểm cho động cơ nếu
chạy liên tục trong một thời gian dài. Do đó, việc thiết kế thuật tốn điều chế vector
khơng gian thực hiện một cách thống nhất áp dụng cho nghịch lưu với số mức mong
muốn kể cả trong trường hợp lỗi van bán dẫn là rất quan trọng và cần phải giải
quyết trong thực tế.
Phƣơng pháp điều khiển dự báo (FCS – MPC) cho nghịch lƣu đa mức đang là
xu hƣớng nhờ các ƣu điểm: khái niệm trực quan, thiết kế đơn giản, điều khiển đƣợc
đa mục tiêu, không phân biệt về điều chế và điều khiển, phù hợp với các đối tƣợng
phi tuyến….Do đó MPC cho phép giải quyết triệt để vấn đề cịn tồn tại của điều chế
vector khơng gian, nhƣ tối ƣu tần số đóng cắt và triệt tiêu điện áp common mode
không thể thực hiện cùng một thời điểm. Đồng thời để tăng độ tin cậy cho những đề
xuất giải quyết trên trong việc điều khiển nghịch lƣu đa mức có xét đến trƣờng hợp

sự cố van bán dẫn, thì việc đƣa các kết quả nghiên cứu này vào ứng dụng cụ thể nào
đó chẳng hạn nhƣ hệ truyền động trung áp, động cơ công suất lớn… là thực sự có ý
nghĩa trong nghiên cứu lý thuyết cũng nhƣ thực tiễn. Việc nghiên cứu này sẽ giúp
cho các kỹ sƣ thiết kế, vận hành hệ truyền động trung áp động cơ đƣợc cấp nguồn
bởi nghịch lƣu đa mức có xét đến trƣờng hợp sự cố trở nên đơn giản hơn. Đặc biệt
1


là xét đến trường hợp điển hình trong điều khiển nghịch lưu đa mức là triệt tiêu
điện áp common mode và tối ưu tần số đóng cắt được thực hiện đồng thời. Đây
cũng là hƣớng nghiên cứu của đề tài nhằm mục đích góp phần nâng cao độ tin cậy
cho hệ truyền động này. Các kết quả nghiên cứu sẽ đƣợc đƣợc minh chứng bằng mô
phỏng offline và thực nghiệm.

Đối tượng nghiên cứu
Nghịch lƣu đa mức cấu trúc cầu H nối tầng cấp nguồn cho hệ truyền động không
đồng bộ trung thế.

Mục tiêu của đề tài
Luận án thực hiện nghiên cứu, lựa chọn cấu trúc nghịch lƣu đa mức và đề xuất
phƣơng pháp điều chế, điều khiển cho nghịch lƣu đa mức có xét đến tình huống sự
cố van bán dẫn khi cấp nguồn cho hệ truyền động không đồng bộ với các mục tiêu
sau: tổng quát hóa phương pháp điều chế SVM cho nghịch lưu đa mức cầu H nối
tầng có xét đến tình huống sự cố van bán dẫn; phát hiện nhanh và chính xác vị trí
cầu H bị lỗi để cấu trúc lại hệ thống; ứng dụng phƣơng pháp điều khiển dự báo để
giải quyết những vấn đề cịn tồn tại trong điều chế vecto khơng gian như tối ưu tần
số đóng cắt và triệt tiêu điện áp common mode không thể thực hiện cùng một thời
điểm; kiểm chứng qua ứng dụng cho hệ truyền động trung áp có xét đến trường hợp
lỗi van bán dẫn của nghịch lưu đa mức.


Phạm vi nghiên cứu
- Điều chế SVM cho nghịch lƣu đa mức cầu H nối tầng với mức điện áp mong
muốn có xét đến sự cố van bán dẫn.
- Thuật toán phát hiện, xử lý lỗi van bán dẫn cho nghịch lƣu đa mức cầu H nối
tầng.
- Phƣơng pháp điều khiển dự báo dòng điện cho nghịch lƣu đa mức cầu H nối
tầng, có khả năng triệt tiêu điện áp common mode và tối ƣu tần số đóng cắt.

Phương pháp nghiên cứu
- Nghiên cứu thực tiễn: Để thấy đƣợc các bộ biến đổi làm việc trong thực tế,
NCS và nhóm nghiên cứu đi thực tế tại Cơng ty nƣớc Sơng đà, Nhà máy giấy An
Hịa, Nhà máy giấy Bãi bằng. Qua đó, nghiên cứu sinh có cái nhìn rõ hơn về các bộ
biến đổi hoạt động trong thực tế: Cơng suất, kích thƣớc, điều khiển, giám sát…qua
đó hình thành ý tƣởng của nghiên cứu.

2


- Nghiên cứu trên lý thuyết:
+ Tổng hợp, phân tích, đánh giá các cấu trúc, phƣơng pháp điều chế SVM cho
nghịch lƣu đa mức. Từ đó lựa chọn đƣợc cấu trúc nghịch lƣu đa mức và đề xuất
phƣơng pháp điều chế SVM cải tiến để giải quyết vấn đề nghiên cứu đặt ra.
+ Nghiên cứu, thiết kế thuật toán phát hiện và xử lý lỗi van bán dẫn.
+ Nghiên cứu các phƣơng pháp điều khiển hiện đại để thiết kế bộ điều khiển có
khả năng đồng thời triệt tiêu điện áp common mode và tối ƣu đóng cắt cho nghịch
lƣu đa mức.
+ Thực hiện mơ phỏng để có kết quả đánh giá sơ bộ.
+ Thực hiện kiểm chứng tính đúng đắn lý thuyết bằng thực nghiệm.

Ý nghĩa của đề tài:

Ý nghĩa khoa học:
Kết quả của nghiên cứu này giúp làm đơn giản hóa trong cách triển khai và dễ
dàng thực hiện tới mức mong muốn với thuật toán tổng quát hóa điều chế SVM cho
nghịch lƣu đa mức cầu H nối tầng có xét đến lỗi van bán dẫn (SVM cải tiến). Trong
trƣờng hợp lỗi van bán dẫn, với thuật toán đề xuất mức giảm của điện áp là nhỏ
nhất, chất lƣợng điện áp, dòng điện đƣợc đảm bảo. Bên cạnh đó, luận án đã đề xuất
hàm mục tiêu của bộ điều khiển dự báo dòng điện đƣợc bổ sung khả năng triệt tiêu
điện áp common mode, tối ƣu tần số chuyển mạch và làm việc đƣợc trong cả trƣờng
hợp có lỗi van bán dẫn. Đồng thời, luận án đề xuất thuật toán sử dụng 19 vector
điện áp liền kề với các phép tính song song đƣợc thực hiện trên FPGA giúp cho
khối lƣợng tính tốn giảm xuống, thời gian tính tốn là nhỏ nhất của bộ điều khiển
dự báo dòng điện.

Ý nghĩa thực tiễn:
Các kết quả nghiên cứu đã đƣợc kiểm chứng thơng qua mơ hình thực nghiệm
chứng tỏ khả năng ứng dụng thực tiễn. Với đóng góp của luận án giúp cho việc ứng
dụng của nghịch lƣu đa mức trong thực tế trở nên đơn giản, an toàn và thỏa mãn
nhiều yêu cầu khác nhau về chất lƣợng.

Dự kiến kết quả đạt được
- Xây dựng thành công thuật toán điều chế SVM tổng quát cho nghịch lƣu đa
mức cầu H nối tầng đƣợc thực hiện một cách đồng nhất, có thể mở rộng đến mức
mong muốn kể cả trƣờng hợp có sự cố lỗi van bán dẫn.
3


- Đề xuất bộ điều khiển dự báo dòng điện giải quyết đƣợc vấn đề đồng thời triệt
tiêu điện áp common mode và tối ƣu đóng cắt; giảm khối lƣợng tính tốn và cải
thiện đáp ứng động học cho hệ truyền động bằng thuật toán 19 vector liền kề trong
một chu kỳ trích mẫu.

- Xây dựng mơ hình thực nghiệm nghịch lƣu 11 mức cấu trúc cầu H nối tầng để
có thể kiểm nghiệm và đánh giá các kết quả nghiên cứu lý thuyết.

Bố cục luận án
Toàn bộ quyển luận án đƣợc chia thành bốn chƣơng nội dung và phần kết luận,
các nội dung cơ bản nhƣ sau:
Chương 1 trình bày tổng quan về cấu trúc của nghịch lƣu đa mức, điều chế cho
nghịch lƣu đa mức có xét đến tình huống lỗi van bán dẫn, các phƣơng pháp điều
khiển mạch vịng dịng điện trong hệ truyền động khơng đồng bộ và phƣơng pháp
phát hiện lỗi. Qua phân tích, đánh giá các cơng trình nghiên cứu đã đƣợc cơng bố,
luận án chỉ ra các vấn đề chƣa đƣợc giải quyết triệt để. Từ đó luận án tập trung
nghiên cứu và đƣa ra đề xuất phƣơng hƣớng thực hiện các mục tiêu nghiên cứu của
luận án.
Chương 2 trình bày phƣơng pháp điều chế SVM tổng quát đƣợc xây dựng một
cách thống nhất với nghịch lƣu đa mức cầu H nối tầng đến mức mong muốn trong
cả trƣờng hợp lỗi van bán dẫn mà vẫn đảm bảo chất lƣợng điện áp ra với độ sụt
giảm của điện áp là nhỏ nhất. Bên cạnh đó, luận án trình bày đề xuất phƣơng pháp
phát hiện lỗi và cấu hình lại nghịch lƣu để hệ thống có thể tiếp tục làm việc khi xảy
ra lỗi. Đồng thời thuật toán phát hiện và xử lý lỗi sẽ đƣợc kiếm chứng thông qua hệ
truyền động không đồng bộ theo nguyên lý FOC.
Chương 3 trình bày về ứng dụng điều khiển dự báo dòng điện cho nghịch lƣu đa
mức cầu H nối tầng có khả năng đồng thời triệt tiêu điện áp common mode và tối
ƣu tần số đóng cắt. Tiếp theo luận án đề xuất phƣơng pháp sử dụng 19 vector điện
áp liền kề cho hàm mục tiêu và các giải pháp để giảm khối lƣợng, thời gian tính
tốn của bộ điều khiển. Bên cạnh đó phƣơng pháp điều khiển MPC đƣợc xây dựng
trong trƣờng hợp có lỗi của van bán dẫn, để hệ thống có thể tiếp tục làm việc với
mức độ sụt giảm điện áp là nhỏ nhất.
Chương 4 trình bày các tính tốn, thiết kế, quy trình thực nghiệm và các kết quả
thu đƣợc. Từ kết quả này sẽ kiểm nghiệm đƣợc tính đúng đắn lý thuyết của thuật
toán đề xuất.

Phần cuối của luận án là đƣa ra các đóng góp mới và những kiến nghị nghiên cứu
trong tƣơng lai.
4


Chương 1. Tổng quan nghịch lưu đa mức cấu trúc cầu H nối
tầng ứng dụng cho hệ truyền động không đồng bộ
Trong chƣơng 1 sẽ trình bày tổng quan về cấu trúc, phƣơng pháp điều chế nghịch
lƣu đa mức khi làm việc bình thƣờng hoặc khi xảy ra lỗi van bán dẫn. Bên cạnh đó,
trong chƣơng 1 liệt kê các phƣơng pháp điều khiển dịng điện stator tuyến tính, phi
tuyến và dự báo cho hệ truyền động không đồng bộ theo nguyên lý FOC. Từ đó
luận án tập trung nghiên cứu và đƣa ra phƣơng hƣớng thực hiện các mục tiêu nhƣ
phát hiện, xử lý lỗi, triệt tiêu đồng thời điện áp common mode và tối ƣu tần số đóng
cắt của nghịch lƣu đa mức cầu H nối tầng.

1.1. Nghịch lưu đa mức cấu trúc cầu H nối tầng
Đối với hệ truyền động trung áp, nghịch lƣu đa mức thƣờng đƣợc lựa chọn nhờ
các ƣu điểm: dạng sóng đầu ra có độ méo sóng hài (THD) thấp hơn, giảm điện áp
đặt lên các linh kiện bán dẫn công suất, tốc độ biến thiên điện áp dv/dt thấp hơn [1],
[4]... Nghịch lƣu đa mức có cấu trúc cơ bản: nghịch lƣu dùng điơt chốt điểm trung
tính (NPC) [5]; nghịch lƣu dùng hệ thống tụ bay (FC) [6], [7]; cầu H nối tầng
(CHB) [8], [9], [10]; bộ biến đổi đa cấp module (MMC) [11]–[13]. Dạng cấu trúc
của nghịch lƣu đa mức thể hiện nhƣ Hình 1. 1, so sánh thiết bị nhƣ Bảng 1. 1.
Trong các cấu trúc của nghịch lƣu đa mức, cấu trúc nghịch lƣu đa mức cầu H nối
tầng (CHB) có tính module hóa cao, linh hoạt trong sửa chữa và thay thế thiết bị do
đó giảm chi phí trong sản xuất và vận hành, không cần thêm các phần tử phụ trợ
nhƣ đi ốt, tụ điện, độ tin cậy cao [2], [14]. Với cấu trúc module hóa, CHB có thể tạo
ra số mức điện áp rất lớn. Với lợi thế này, có thể xây dựng đƣợc các BBĐ CHB làm
việc ở cấp điện áp từ trung thế (MV – từ trên 1 kV đến 60 kV), đến mức cao thế
(HV – 110 kV đến 220 kV). Do đó, CHB - MLI đƣợc sử dụng rộng rãi hơn trong

công nghiệp cho các ứng dụng khác nhau. Trong đó, nghịch lƣu đa mức đặc biệt
phù hợp với ứng dụng nhƣ:
- Nối lưới: Đối với hệ thống năng lƣợng điện mặt trời nối lƣới, thông thƣờng
gồm nhiều tấm pin năng lƣợng điện mặt trời riêng lẻ và chiếm một khu vực có diện
tích lớn nhƣ Hình 1. 2. Do cƣờng độ bức xạ mặt trời có thể khơng đều do mây che
khuất, vật cản…sẽ làm công suất trên các tấm pin không đều. Để nâng cao hiệu
suất, thông thƣờng sẽ chia nhỏ thành các nhóm PV để điều khiển sẽ tạo ra cơng suất
là cân bằng và cao nhất có thể. Cấu trúc bộ biến đổi CHB với đặc thù đƣợc cấp bởi
các nguồn DC cách ly riêng lẻ. Do đó, Cấu trúc bộ biến đổi CHB đặc biệt phù hợp
với ứng dụng năng lƣợng điện mặt trời nối lƣới [15]–[17].
5


Bảng 1. 1. So sánh số linh kiện trong một pha của các cấu trúc NLĐM
Cấu hình nghịch lƣu
Van IGBT
Diode kẹp
Tụ trên nguồn DC

Diode kẹp
NPC
2(m-1)
2(m-2)
(m-1)

Tụ bay
FC
2(m-1)
0
(m-1)


Cầu H nối
tầng CHB
2(m-1)
0
(m-1)/2

MMC
2(m-1)
0
(m-1)

Cấu trúc cầu H nối tầng CHB

vdc1

vac1

Nhiều nguồn DC cách ly

vac

vdc2

vac2

Cấu trúc MMC

NLĐM
-Vdc

-2Vdc

Cấu trúc điốt chốt NPC

+

(1/2)vdc
+

vdc

vac

(1/2)vdc
-

vdc

Một nguồn DC

vc

Cấu trúc tụ điện bay FC
+

(1/2)vdc

vdc

vac


+

(1/2)vdc
-

Hình 1. 1. Phân loại NLĐM (nguồn [18])

- Bộ biến đổi biến đổi lưới điện xoay chiều 3 pha cung cấp cho động cơ công
suất lớn, điện áp cao: Với mục tiêu ứng dụng trong hệ thống công suất lớn, việc
đảm bảo dịng lấy vào từ lƣới điện có dạng gần sin là rất quan trọng. Nghịch lƣu đa
mức cầu H nối tầng với nhiều nguồn DC cách ly, vì vậy có thể sử dụng các sơ đồ
máy biến áp nhiều đầu ra để nâng cao chất lƣợng dòng đầu vào. Điều này đƣợc thể
hiện trên các sơ đồ trong Hình 1. 3, trong đó hình (a) là sơ đồ chỉnh lƣu 12 xung,
hình (b) là sơ đồ chỉnh lƣu 18 xung, hình (c) là sơ đồ chỉnh lƣu 24 xung. Các cách
đấu dây trên các sơ đồ Hình 1. 3, Hình 1. 4 chỉ đảm bảo dịng lấy vào từ lƣới có
dạng sin, cịn điện áp trên mỗi chỉnh lƣu đầu ra vẫn có số xung nhƣ các chỉnh lƣu
6


thơng thƣờng. Do đó cấu trúc nghịch lƣu đa mức cầu H nối tầng rất phù hợp cho
việc cấp nguồn cho hệ truyền động ở dải công suất lớn, điện áp cao [19].
Trong luận án này, đối tƣợng nghiên cứu là nghịch lƣu đa mức cấp nguồn cho hệ
truyền động không đồng bộ ở dải điện áp trung thế. Từ những phân tích trên, luận
án lựa chọn cấu trúc nghịch lƣu đa mức cầu H nối tầng với định hƣớng nghiên cứu
tập trung vào vấn đề: đơn giản hóa điều chế SVM; duy trì hoạt động hoặc dừng chủ
động của hệ thống khi xảy ra lỗi hở mạch van, đƣa ra một số đề xuất khi ứng dụng
điều khiển dự báo mơ hình mạch vịng dịng điện cho hệ thống nghịch lƣu đa mức
cầu H nối tầng – động cơ không đồng bộ.
Multistring bus a1


Utility
Grid

A

B
C

H- Bridge
Cell

ia

dc
ac

A
B

R

L

C

n

dc


Multistring bus a2

Transformer

ac

dc

Multistring bus ak

ac

N

H- Bridge Cell

Cascaded H-Bridge Multilevel Converter

Hình 1. 2. Cấu trúc hệ PV dùng cấu trúc CHB (nguồn [17])
0o
0
Lƣới

0

iS

o

o


Lƣới

30o

iS

Lƣới
o

20

iS

15o
30o

o

40
Máy biến áp

iS

45o
Chỉnh lƣu
6 xung

Máy biến áp


iS

(a)

Máy biến áp

Chỉnh lƣu
6 xung

Chỉnh lƣu
6 xung

iS

(b)

(c)

Hình 1. 3. Các phương án cấp nguồn DC cách ly cho nghịch lưu cầu H nối tầng.

7


Tải
A
VDC1
B

Z


C
Lƣới điện
VDC2

~

VDC5
N
Máy biến áp

Pha A
Pha B
Pha C

Hình 1. 4. Sơ đồ cấu trúc hệ thống nghịch lưu ba pha 11 mức cầu H nối tầng.

1.2. Phương pháp điều khiển mạch vòng dòng điện
1.2.1 Tổng quan các phương pháp thiết kế mạch vòng dòng điện
Hiện nay, FOC vẫn đƣợc coi là phƣơng pháp điều khiển tiêu chuẩn trong công
nghiệp [20]. Theo nguyên lý điều khiển FOC với hai mạch vòng điều chỉnh, mạch
vịng ngồi là tốc độ và từ thơng, mạch vịng trong là dịng điện. Do đó, phƣơng
pháp đã tách đƣợc hai thành phần điều khiển từ thông và momen. Mạch vịng dịng
điện có đặc điểm phi tuyến, xen kênh và đóng vai trị quan trọng về q trình từ hóa
và điều khiển momen động cơ. Nếu bộ điều khiển dịng điện đảm bảo đƣợc tiêu chí
đáp ứng dịng điện stator nhanh, chính xác và tách kênh thì điều khiển tốc độ cho
động cơ trở nên đơn giản, đúng theo u cầu cơng nghệ. Chính vì vậy, bộ điều
khiển dịng điện đảm bảo các tiêu chí yêu cầu bằng các phƣơng pháp điều khiển
tuyến tính, phí tuyến, điều khiển thơng minh và dự báo. Trong đó các phƣơng pháp
điều khiển tuyến tính nhƣ PI, deadbeat truyền thống, deabeat cải tiến và các phƣơng
pháp điều khiển phi tuyến nhƣ tuyến nhƣ tính hóa chính xác, cuốn chiếu, tựa phẳng,

trƣợt…và điều khiển dự báo FCS-MPC. Mỗi phƣơng pháp điều khiển có đặc điểm
riêng đƣợc trình bày nhƣ sau:
* Các phương pháp điều khiển tuyến tính
Các phƣơng pháp tuyến tính trong đó thƣờng đƣợc sử dụng là bộ điều khiển PI.
Bộ điều khiển này có ƣu điểm thiết kế đơn giản và đáp ứng nhanh. Tuy nhiên bộ
điều khiển PI có những nhƣợc điểm là chỉ đạt hiệu quả tốt nhất ở quanh điểm làm
việc cân bằng mà khi thiết kế lựa chọn do bản thân mơ hình động cơ là phi tuyến.
Nhƣ vậy khi hệ truyền động tại vùng làm việc rộng, chế độ động khắc nghiệt (tải
thay đổi mạnh hoặc có dạng xung) thì chắc chắn đáp ứng động học và chất lƣợng
truyền động điện sẽ bị suy giảm [21]; Bộ điều khiển deadbeat truyền thống hay còn
gọi là bộ ĐK bù thì ln ƣu tiên hàng đầu tốc độ đáp ứng hữu hạn. Bộ ĐK deadbeat
8


truyền thống có đặc điểm dẫn dắt biến ra theo một quỹ đạo thời gian do ngƣời thiết
kế xác định, sao cho giá trị thực đuổi kịp và bám giá trị đặt sau một lƣợng hữu hạn
N chu kỳ trích mẫu vẫn đƣợc áp dụng trong nghiên cứu lý thuyết và thực tiễn [22],
[23]; Bộ điều khiển deadbeat cải tiến dịng stator khắc phục nhƣợc điểm nhạy với
thơng số động cơ của bộ ĐK deadbeat truyền thống dịng stator thơng qua ma trận L
và bộ điều khiển này đã tách kênh thành cơng các thành phần dịng điện đƣợc thể
hiện qua hàm truyền hở Gh(z) [24]; Tuy nhiên bộ điều khiển này phụ thuộc vào
tham số động cơ và vi xử lý khi triển khai thực nghiệm. Bộ điều khiển tuyến tính
Active Disturbance Rejection Control (ADRC) là bộ điều khiển ADRC kết hợp với
bộ quan sát ESO (Extended State Observer) để ƣớc lƣợng nhiễu và sai số mơ hình.
Mặc dù chỉ có một mơ hình với độ chính xác khơng cao, thì vẫn có thể thiết kế đƣợc
một bộ điều khiển có chất lƣợng tốt, mạnh mẽ chống lại các biến động cho đối
tƣợng thực tế, do đó gián tiếp giúp đơn giản hóa mơ hình. Bộ điều khiển tuyến tính
ADRC khắc phục đƣợc nhƣợc điểm của bộ điều khiển PID hay PI là khắc phục
đƣợc hiện tƣợng bão hòa tích phân, tích cực loại bỏ nhiễu và bộ điều khiển ít phụ
thuộc vào thơng số động cơ. Vì vậy bộ điều khiển này hứa hẹn phát triển trong

tƣơng lai [25] và [22].
* Các phương pháp điều khiển phi tuyến
Các phƣơng pháp điều khiển phi tuyến cho mạch dòng vòng điện phổ biến:
Phƣơng pháp tuyến tính hóa chính xác (exact linearization) sử dụng phản hồi trạng
thái để chuyển hệ phƣơng trình dịng điện phi tuyến sang quan hệ tuyến tính vào ra, từ đó có thể áp dụng các bộ điều chỉnh tuyến tính thơng thƣờng. Phƣơng pháp
này phải đo lƣờng đầy đủ các trạng thái cần thiết, loại bỏ chính xác thành phần phi
tuyến và thiết kế bộ điều khiển riêng rẽ cho mức từ thông rotor. Phƣơng pháp điều
khiển này đã tách kênh dịng stator thành cơng khi thực hiện chuyển hệ tọa độ, để
đƣa đối tƣợng dòng phi tuyến về tuyến tính. Tuy nhiên phƣơng pháp điều khiển này
phụ thuộc vào phép đo các biến trạng thái, nếu phép đo khơng chính xác, sẽ có thể
dẫn đến vấn đề mất bền vững nghiêm trọng [26]; Phƣơng pháp điều khiển tựa phẳng
(flatness) cho phép tính tốn đƣa trực tiếp các tín hiệu đầu ra mong muốn này về
làm giá trị đặt đầu vào, dẫn tới một cấu trúc điều khiển mà giá trị cần điều khiển của
hệ lại là đầu vào điều khiển, gọi là mơ hình ngƣợc. Tuy nhiên bài toán thiết lập quỹ
đạo cần xét đến giới hạn các biến điều khiển là rất cần thiết, do vậy bài toán thiết kế
sẽ trở nên phức tạp nếu bậc mơ hình trạng thái cao, trong đó mơ hình dịng điện
cũng là một thử thách áp dụng điều khiển tựa phẳng, vì mơ hình dịng là bậc 2 [27];
Phƣơng pháp điều khiển cuốn chiếu (backstepping), thiết kế điều khiển đảm bảo sai
lệch giữa giá trị đặt và giá trị thực theo tiêu chuẩn Lyapunov, hệ kín ổn định toàn
cục theo tiêu chuẩn Lyapunov, nên đáp ứng động học chậm. Bên cạnh đó nếu hệ có
bậc mơ hình càng lớn thì việc thiết kế cuốn chiếu sẽ phức tạp, song ngày nay với sự
phát triển của vi điều khiển, kỹ thuật điều khiển số… thì vấn đề này đƣợc giải quyết
[28]; Phƣơng pháp điều khiển trƣợt là một phƣơng pháp điều khiển phi tuyến đơn
9


giản. Để thiết kế thành phần điều khiển trƣợt cần phải biết rõ các thơng số của mơ
hình đối tƣợng, cũng nhƣ các điều kiện chặn trên của các thành phần bất định của
mơ hình. Điều khiển trƣợt có dạng hàm dấu và có hiện tƣợng rung (chattering)
trong hệ thống. Đây là một hiệu ứng nguy hiểm và là nguyên nhân làm giảm tuổi

thọ nhiều thiết bị trong hệ thống. Tuy nhiên những năm gần đây đã có nghiên cứu
giải pháp chống rung, cũng đã thu đƣợc kết quả ứng dụng mang tính thực tế [29],
[30].
Đối với đối tƣợng là điện tử công suất và truyền động điện, phƣơng pháp dự báo
dòng điện (MPC) đã nổi lên là phƣơng pháp điều khiển thay thế đầy tiềm năng cho
nghịch lƣu đa mức nhờ các ƣu điểm: trực quan khi tiếp cận, tƣơng đối đơn giản
trong thiết kế và thực hiện, là bộ điều khiển đa biến MIMO nhiều đầu vào đầu ra, có
khả năng làm việc với hệ phi tuyến trên miền thời gian, đáp ứng động học nhanh,
hàm mục tiêu giúp chiến lƣợc điều khiển trở nên linh hoạt trong việc lựa chọn đối
tƣợng điều khiển và bổ sung các ràng buộc. Do đó, để đánh giá cụ thể phƣơng pháp
điều khiển dự báo dịng điện (MPC) sẽ đƣợc trình bày ở mục kế tiếp.
1.2.2. Phương pháp điều chế
Đối với dải công suất lớn, điện áp cao, là vùng làm việc chủ yếu của nghịch lƣu
đa mức, chiến lƣợc điều chế cần hƣớng tới giảm thấp số lần đóng cắt của khóa bán
dẫn, từ đó mà giảm đƣợc tổn hao do đóng cắt, trong khi phải đảm bảo giảm thiểu
tổng độ méo sóng hài THD. Ngồi ra, các mục tiêu điều khiển khác có thể đƣợc xử
lý bằng kỹ thuật điều chế thích hợp nhƣ giảm điện áp common-mode, cân bằng điện
áp trên tụ, giảm thiểu sóng hài dịng điện đầu vào, dv/dt thấp [31],...Do đó, phƣơng
pháp điều chế và điều khiển cho các BBĐ đa mức luôn đƣợc quan tâm nghiên cứu
trong nhiều năm qua, nhằm mục đích đạt hiệu quả truyền dẫn năng lƣợng điện tốt
nhất, nâng cao độ tin cậy và tăng cƣờng chất lƣợng các thông số của BBĐ…. Hiện
nay, việc sử dụng điều khiển bằng công nghệ số DSP, FPGA cho phép thực hiện
các kỹ thuật điều khiển mới và tinh vi hơn so với các phƣơng pháp điều khiển tuyến
tính cổ điển, tạo ra sự linh hoạt cần thiết của BBĐ trong các ứng dụng thực tế. Các
phƣơng pháp điều chế cho BBĐ đa mức nhƣ mơ tả ở Hình 1.9 có thể chia thành hai
nhóm lớn là thuật tốn dựa trên điều chế SVM và thuật toán dựa trên mức điện áp
gồm: phƣơng pháp PWM; phƣơng pháp NLM. Các tiêu chí để lựa chọn các kỹ thuật
điều chế thích hợp vào cấu trúc, đặc điểm kỹ thuật khi thiết kế và khả năng ứng
dụng của từng loại BBĐ. Cả hai phƣơng pháp trên đều đƣợc thực hiện trong miền
thời gian. Khi đánh giá về tổn thất, các phƣơng pháp điều chế có tần số thấp đƣợc

sử dụng nhiều hơn trong ứng dụng công suất cao vì có khả năng giảm tổn thất đóng
cắt trong khi chất lƣợng điện áp đầu ra vẫn thỏa mãn yêu cầu, đạt hiệu quả cao hơn so
với phƣơng pháp có tần số cao. Ngồi ra, các tiêu chí để lựa chọn còn đƣợc thực hiện
dựa trên việc thực hiện đơn giản quá trình chuyển mạch đƣợc tối ƣu, có thể phối hợp
nhịp nhàng với các tiêu chí điều khiển khác...Đối với nghịch lƣu đa mức cấu trúc
10


cấu trúc cầu H nối tầng, kỹ thuật điều chế phổ biến thƣờng đƣợc sử dụng: PWM
dùng nhiều sóng mang và điều chế vector không gian (SVM).
Các dạng PWM nhiều sóng mang cơ bản áp dụng cho nghịch lƣu đa mức là [32]:
- Kỹ thuật điều chế với các sóng mang dịch pha (Phase-shift Carrier PWM –
PSCPWM)
- Các PWM với sóng mang dịch mức, với các dạng khác nhau về sắp đặt pha
giữa các sóng mang với nhau nhƣ APOD, POD, PD.
Điều chế với nhiều sóng mang dễ áp dụng và cũng có thể mang lại hiệu quả cao
về đảm bảo thành phần sóng hài. Tuy nhiên do các dạng sóng mang là cố định nên
muốn thay đổi các chế độ của sơ đồ điều chế để đạt đƣợc những mục tiêu khác nhƣ
tăng khả năng sử dụng điện áp nguồn DC (đạt hệ số biên độ sóng cơ bản mong
muốn trên điện áp DC lớn hơn), giảm số lần đóng cắt của khóa bán dẫn bằng các sơ
đồ điều chế gián đoạn (Discontinuous PWM), chỉ có thể tác động lên sóng chủ đạo
[33]. Các phƣơng pháp cân bằng điện áp trên các tụ DC đối với PWM nhiều sóng
mang cũng đều phải tác động lên sóng chủ đạo nên rất phức tạp, trong nhiều trƣờng
hợp là không khả thi. Bên cạnh đó, với yêu cầu mỗi cầu H cần một sóng mang (11
mức cần 15 bộ tạo sóng mang) dẫn đến không khả thi với mức cao.
Phƣơng pháp điều chế vector khơng gian SVM có những ƣu điểm ở khả năng
linh hoạt hơn nhiều so với PWM dựa trên sóng mang. SVM có khả năng tạo ra quỹ
đạo vector mong muốn có dạng bất kỳ nhờ lựa chọn các trạng thái mạch nghịch lƣu
và các thời gian phù hợp trong một chu kỳ điều chế. Điều này rất cần thiết để đảm
bảo đặc tính động học của hệ thống vì thơng thƣờng bộ biến đổi nằm trong hệ thống

các mạch vòng điều chỉnh, lƣợng đặt cho khâu điều chế có thể có dạng khác xa so
với hình sin, khi đó tính tốn các lƣợng offset cho PWM nhiều sóng mang trở nên là
vấn đề lớn. Nhờ các trạng thái dƣ của mạch nghịch lƣu trong việc tạo ra cùng một
vector điện áp chuẩn, cơ bản đã giải quyết các vấn đề về: tối ƣu trạng thái chuyển
mạch van bán dẫn [34]–[37], cân bằng điện áp giữa các pha và giữa các tụ DC trên
cùng một pha [38], giảm thiểu ảnh hƣởng của common mode [39], [40]. Bên cạnh
đó, phƣơng pháp điều chế SVM cũng cho phép tạo ra những mẫu xung để BBĐ có
thể làm việc đƣợc trong cả trƣờng hợp lỗi van công suất và giảm đƣợc những ảnh
hƣởng tiêu cực do lỗi gây ra [2], [41], tận dụng điện áp một chiều tốt hơn.
Yêu cầu khối lƣợng tính tốn cao đƣợc coi là nhƣợc điểm chính của SVM, nhất
là khi số lƣợng vector điện áp chuẩn tăng lên nhanh theo số mức và đƣợc tính tốn
nhƣ (1.1) [32]:
m 1

Nvectors chuan  1  6 i;
i 1

NTTMNL  m3

(1. 1)

Trong đó: m là số mức mạch nghịch lƣu, NTTMNL là trạng thái mạch nghịch lƣu.
11


Ví dụ nếu số mức là 5 thì số vector chuẩn là là 61 và trạng thái mạch nghịch lƣu
là 125, khi số mức là 11 thì có 331 vector chuẩn và trạng thái mạch nghịch lƣu là
1331.

Hình 1. 5. Tổng quan về các phương pháp điều chế cho bộ biến đổi đa mức (nguồn: [42])


V290

V291

V282

V292

V300
V301

V12
V13

V302

V280

V10

V11

V9
V2

V3
V4

V0

V5

V14

V272

V8
V1

V6

V271

V7
V18

V330

V17

V15
V16

V310
V311

V8:
(5;4;3)
(4;3;2)
(3;2;1)

(2;1;1)
(1;0;-1)
(-1;-2;-3)
(-2;-3;-4)
(-3;-4;-5)

V281

V312

V322

V320

V321

Hình 1. 6. Vector khơng gian điện áp của CHB - MLI 11 mức CHB
Hình 1. 6 thể hiện vector điện áp không gian nghịch lƣu 11 mức cầu H nối tầng.
Thông thƣờng, việc thực hiện các sơ đồ SVM cho các bộ nghịch lƣu có mức điện áp
12


cao có độ phức tạp tính tốn cao hoặc u cầu các bảng tra cứu. Đặc biệt khi mở
rộng mức cao hơn không tận dụng đƣợc việc xây dựng vector khơng gian, các tính
tốn của mức dƣới, vì thế việc thiết kế điều chế mất nhiều thời gian với khối lƣợng
tính tốn lớn. Do đó, cần đơn giản hóa điều chế SVM là vấn đề thực tiễn đặt ra. Đã
có khá nhiều nghiên cứu về vấn đề đơn giản hóa điều chế SVM cho CHB - MLI.
Một thuật toán SVM đã đƣợc đề xuất trong [43] để tính tốn thời gian điều chế và
trạng thái chuyển mạch dựa trên hệ tọa độ 600. Tuy nhiên, việc chuyển đổi giữa hệ
tọa độ 600 và hệ tọa độ gốc Cartesian trong [43] u cầu tính tốn hàm lƣợng giác

phức tạp với khối lƣợng tính tốn lớn. Phƣơng pháp điều chế SVM dựa trên hai ánh
xạ đơn giản đã đƣợc trình bày trong [44], trong đó các phép tính lặp tốn thời gian để
xác định một tập các hình lục giác lồng nhau và sửa đổi trạng thái chuyển đổi đƣợc
yêu cầu để tính tốn vectơ cịn lại và trạng thái chuyển đổi. Thuật tốn có các phép
tính lặp, khối lƣợng tính tốn tăng đáng kể khi số mức tăng làm cho thời gian tính
tốn tăng lên. Do đó, phƣơng pháp này khơng phù hợp để thực hiện theo thời gian
thực cho các bộ nghịch lƣu mức cao. Nghiên cứu [45] đã trình bày một phƣơng
pháp để nhanh chóng xác định các trạng thái của vector chuẩn phải sử dụng. Tuy
nhiên, việc lập một bảng tra cứu các trạng thái của vector chuẩn sẽ trở nên khó khăn
hơn khi số mức tăng cao. Hơn nữa, phƣơng pháp xác định trạng thái chuyển đổi rất
khó để khái quát hóa cho phƣơng pháp điều chế. Thuật toán SVM đƣợc đề xuất
trong [46] sử dụng hệ tọa độ 1200 để tính tốn và xác định số mức của các pha một
cách hợp lý. Tuy nhiên, việc không sử dụng trạng thái mạch nghịch lƣu dƣ của
vector điện áp trong điều chế để tối ƣu đóng cắt, cân bằng điện áp trên tụ, tối ƣu
common mode là thiếu sót của thuật tốn. Vì vậy, nghiên cứu này sẽ đề xuất
phương pháp để đơn giản hóa điều chế SVM bằng cách xây dựng thuật toán dưới
dạng tổng quát hóa. Với mong muốn của thuật tốn tạo ra mạch tạo xung có thể tạo
ra các mẫu xung cho mức mong muốn trong điều kiện tối ƣu đóng cắt van với yêu
cầu đầu vào là số mức.
1.2.3. Phương pháp điều khiển dự báo dòng điện
* Phương pháp điều khiển dự báo (MPC): phƣơng pháp điều khiển dự báo với
nguyên lý cơ bản là dựa vào mơ hình trạng thái, q trình trễ, tối ƣu hóa hàm mục
tiêu và cùng với lý thuyết điều khiển dự báo để tối ƣu giá trị [47]. MPC có ƣu điểm
là khái niệm trực quan, thiết kế đơn giản và phù hợp với đối tƣợng phi tuyến [48].
MPC lần đầu tiên đƣợc giới thiệu vào năm 1960 và đƣợc ứng dụng nhiều trong
công nghiệp vào năm 1970 [49]. Từ những năm 1980, MPC bắt đầu đƣợc ứng dụng
trong điện tử công suất, lúc này do hạn chế về kỹ thuật xử lý nên nó chỉ đƣợc ứng
dụng cho các hệ thống tần số thấp [23], [50], [51]. Về bản chất điều khiển dự báo
gồm nhiều các phƣơng pháp điều khiển khác nhau có chung một đặc điểm, đó là sử
13



dụng các mơ hình tốn học của hệ thống để dự đoán hành vi tƣơng lai [52]. Việc
thực hiện đƣợc bộ điều khiển MPC yêu cầu những phức tạp về mặt tốn học, địi
hỏi năng lực tính tốn của bộ vi xử lý phải lớn. Trong một thập kỉ trở lại đây, sự
phát triển của các bộ vi xử lý với tốc độ cao nhƣ DSP, FPGA… đã đáp ứng đƣợc
u cầu về độ tính tốn lớn của MPC [53], [54]. Vì vậy, việc áp dụng MPC cho điện
tử cơng suất và truyền động điện đã khả thi hơn trong thực tế [55].
Với đối tƣợng là các bộ biến đổi điện tử công suất, điều khiển dự báo đƣợc chia
làm 2 loại [55]: Điều khiển Continuous control set- MPC (CCS- MPC): Tính tốn
các giá trị điều khiển liên tục để đƣa vào bộ điều chế độ rộng xung, từ đó thu đƣợc
điện áp đầu ra của bộ công suất. Ƣu điểm của phƣơng pháp này là đƣa ra tần số
chuyển mạch định trƣớc, nhƣng sẽ tạo ra sự phức tạp khi triển khai thuật toán; Điều
khiển Finite control set- MPC ( FCS- MPC): Dựa trên số lƣợng trạng thái đóng cắt
hữu hạn, bộ điều khiển đánh giá trực tiếp từng trạng thái chuyển mạch sao cho đảm
bảo mục đích điều khiển mà hệ thống đề ra. Cách tiếp cận này cho phép các sơ đồ
điều khiển linh hoạt và đơn giản hơn, không cần bộ điều chế độ rộng xung do bộ
điều khiển sẽ tính tốn ra trạng thái chuyển mạch tối ƣu và áp dụng trực tiếp lên
mạch lực. FCS – MPC có 2 phƣơng pháp điều khiển dự báo đó là điều khiển dự báo
momen (PTC) và điều khiển dự báo dòng điện PCC [56], [57]. FCS-MPC đƣợc ứng
dụng thành công trong điện tử công suất, bao gồm bộ biến đổi DC-DC, DC-AC,
AC-DC, và AC-AC [58]. Đối với hệ thống truyền động điện thì MPC đã đƣợc ứng
dụng rộng rãi cho máy điện xoay chiều, trong đó là động cơ KĐB-RLS [59] hay
nhƣ động cơ đồng bộ nam châm vĩnh cửu [60], hay nhƣ với động cơ nhiều pha [61],
bên cạnh đó MPC có thể sử dụng ở cấu trúc điều khiển khơng cảm biến [62]. Do đó,
FCS – MPC sẽ đƣợc lựa chọn để thực hiện trong nghiên cứu này.
Việc loại bỏ khâu tổng hợp vector điện áp từ ba vector gần nhất giúp giảm đáng
kể thời gian tính tốn và độ phức tạp của thuật tốn điều khiển, từ đó cải thiện đáp
ứng động học của hệ thống. Tuy nhiên, việc khơng có khâu điều chế dẫn tới không
thực hiện đƣợc các mục tiêu khác của điện tử công suất nhƣ: Tối ƣu common mode,

tối ƣu đóng cắt, cân bằng điện áp trên tụ,…Vì vậy, nhiệm vụ này phải đƣợc thực
hiện trong hàm mục tiêu của bộ điều khiển dự báo dòng điện [55], [56]. Điện áp
commode gây ra do việc đóng cắt tạo ra vector điện áp các pha có tần số là bội của
tần số cơ bản U A  U B  UC  0 điện áp này gây ra dòng rò trong động cơ. Vấn đề
điều chế của bộ biến đổi giảm thiểu điện áp common mode cho nghịch lƣu đa mức
cấu trúc cầu H nối tầng đƣợc trình bày trong [63]. Với việc lựa chọn các vector điện
áp có common module nhỏ nhất để điều chế đã làm giảm CMV của nghịch lƣu đa
mức. Tuy nhiên việc điều chế và lựa chọn vector điện áp có CMV với bộ biến đổi
có mức cao cịn khó khăn. Bên cạnh đó, việc khơng linh động trong việc thay đổi
CMV có thể gây ra ảnh hƣởng đến chất lƣợng hệ truyền động. Để khắc phục nhƣợc
14


điểm này, phƣơng pháp MPC cho phép tối ƣu CMV trong hàm mục tiêu bên cạnh
các mục tiêu quan trọng của bộ điều khiển [64], [58]. Với phƣơng pháp này điện áp
CMV là một đại lƣợng đƣợc điều khiển và đƣợc đại diện bởi một thành phần có
trọng số của hàm mục tiêu. Việc thay đổi trọng số này sẽ làm cho điện áp CMV
thay đổi một cách dễ dàng tuy thuộc yêu cầu của bộ điều khiển. Tuy nhiên, các
nghiên cứu hiện có mới chỉ áp dụng cho các nghịch lƣu nguồn áp 3 pha thơng
thƣờng
Bên cạnh đó, điều khiển MPC địi hỏi chu kỳ trích mẫu phải đủ nhỏ khoảng
10  100 s [49], [55]. Do đó, tần số đóng cắt của bộ biến đổi cũng tăng lên làm tổn
thất trong q trình đóng cắt tăng lên. Các nghiên cứu [65]–[67] đã thực hiện đƣa
tần số đóng cắt là một đại lƣợng điều khiển và cũng đƣợc đại diện bằng một thành
phần có trọng số trong hàm mục tiêu điều khiển. Việc thay đổi trọng số này đã làm
thay đổi tần số đóng cắt của bộ biến đổi. Tuy nhiên khi tần số đóng cắt giảm cũng
làm cho chất lƣợng dòng điện, điện áp giảm xuống nên cần lựa chọn trọng số phù
hợp mục tiêu điều khiển và chất lƣợng của hệ truyền động.
Một trong những hạn chế của phƣơng pháp điều khiển MPC là yêu cầu khả năng
tính tốn lớn của bộ điều khiển. Đặc biệt, khi hệ truyền động đƣợc cấp nguồn bởi

nghịch lƣu đa mức thì số lƣợng vector điện áp tăng nhanh theo số mức. Do đó, khối
lƣợng tính tốn của phƣơng pháp sẽ tăng lên theo số tăng của vector điện áp. Tuy
nhiên, khối lƣợng tính tốn q lớn có thể khơng thể thực hiện đƣợc do hạn chế tài
nguyên của thiết bị điều khiển. Bên cạnh đó, việc tính tốn khối lƣợng q lớn sẽ
làm cho thời gian tính tốn tăng lên, làm ảnh hƣởng đến chất lƣợng bộ điều khiển.
Đã có khá nhiều nghiên cứu về vấn đề giảm số lƣợng tính tốn của phƣơng pháp
điều khiển MPC. Ở [68] đề xuất phƣơng pháp sử dụng 7 vector điện áp không gian
liền kề. Bằng việc cố định chỉ lựa chọn 1 trong 7 vector điện áp xung quanh vector
điện áp ở chu kỳ trƣớc. Điều này đã đảm bảo là với bộ biến đổi có mức bất kỳ thì số
lƣợng tính tốn là khơng đổi. Ở [69], [70] lựa chọn 3 vector liền kề từ vector điện
áp dự báo. Điều này cũng tạo ra số lƣợng tính tốn cố định với bất kỳ mức nào của
bộ điều khiển. Tuy nhiên, việc giảm các lựa chọn vector điện áp của hàm mục tiêu
sẽ làm ảnh hƣởng đến chất lƣợng bộ điều khiển đặc biệt là q trình q độ, bên
cạnh đó với hàm đa mục tiêu bao gồm sai lệch dòng điện stator, tối ƣu common
mode, tối ƣu đóng cắt các phƣơng pháp đề xuất là khó khả thi và sẽ làm cho chất
lƣợng hệ truyền động giảm xuống. Do đó, các phƣơng pháp trên mới thử nghiệm
với hàm mục tiêu không có trọng số. Phương pháp điều khiển dự báo dịng điện cho
nghịch lưu đa mức không dùng khâu điều chế sẽ rất phù hợp cho nghịch lưu đa mức
cấu trúc cầu H nối tầng do giảm được khối tính tốn tương đối phức tạp của khâu
điều chế. Tuy nhiên, phương pháp này cũng địi hỏi khối lượng tính tốn lớn, thời
gian tính tốn phải nhanh là vấn đề của nghiên cứu đặt ra.
15


Qua các cơng trình nghiên cứu tại mục 1.2.1, 1.2.2, 1.2.3 nhận thấy rằng, đối
với cấu trúc biến tần đa mức cấp nguồn cho hệ truyền động không đồng bộ theo
ngun lý FOC, có thể dùng bộ điều khiển dịng điện (tuyến tính, phi tuyến) cùng
với khâu điều chế, hay như bộ điều khiển dự báo dịng điện thì đặt điện áp lên động
cơ về biên độ và góc pha theo yêu cầu. Tuy nhiên thấy rằng, với các công trình
khoa học hiện có chưa đặt ra vấn đề đồng thời triệt tiêu điện áp common mode và

tối ưu đóng cắt van bán dẫn. Vì vậy, đây là một trong những mục tiêu nghiên cứu
của luận án.
1.2.4. Phát hiện và xử lý lỗi của nghịch lưu đa mức khi xảy ra lỗi van công suất
Với việc tăng số mức, khả năng xảy ra lỗi một hoặc nhiều van bán dẫn hồn tồn
có thể xảy ra [2]. Thơng thƣờng thiết bị bảo vệ sẽ tác động để ngắt nghịch lƣu ra
khỏi lƣới điện nếu bị lỗi, dẫn đến động cơ dừng làm việc. Việc dừng đột ngột động
cơ trong thực tế đơi khi có thể gây ra sự cố nghiêm trọng, ví dụ nhƣ hiện tƣợng búa
nƣớc trong hệ thống bơm cột áp cao. Mặt khác, nếu tiếp tục làm việc trong điều
kiện lỗi có thể dẫn đến điện áp đầu ra mất cân bằng gây nguy hiểm cho động cơ nếu
chạy liên tục trong một thời gian dài. Do đó, kỹ thuật xử lý trong điều kiện xảy ra
lỗi để duy trì hoạt động chủ động của nghịch lƣu là rất quan trọng. Để đạt đƣợc điều
đó, cần thực hiện hai việc: (1) phát hiện vị trí có lỗi; (2) cấu hình lại nghịch lƣu và
thay đổi thuật tốn điều chế.
a. Phát hiện lỗi của nghịch lưu đa mức
Đối với lỗi của nghịch lƣu đa mức, 38% là do lỗi của van bán dẫn công suất gây
nên [3]. Lỗi của van bán dẫn cơng suất đƣợc chia làm 2 nhóm chính: hở mạch và
ngắn mạch van bán dẫn [71]. Việc phát hiện nhanh, chính xác vị trí của lỗi giúp
giảm ảnh hƣởng của lỗi đến nghịch lƣu và hệ truyền động. Do đó, đã có nhiều
phƣơng pháp đề xuất để phát hiện lỗi:
* Phƣơng pháp phân tích phổ của dịng điện đầu ra [72]. Phƣơng pháp này dựa
trên phân tích THD của dòng điện đầu ra. Bằng việc dựa trên sai khác về giá trị
THD của dòng điện trên các pha, sẽ tìm đƣợc cell cơng suất bị lỗi. Với việc đo liên
tục về THD của dòng điện đầu ra địi hỏi mạch đo dịng phải chính xác cao, khả
năng tính tốn của phần cứng phải rất nhanh.
* Phƣơng pháp dựa vào sai lệch điện áp điều chế và điện áp ra thực tế của cell
[73], [74]. Bằng việc so sánh giữa sóng sin điều chế và sóng răng cƣa, để xác định
đƣợc xung điều khiển cho các van bán dẫn, điện áp đầu ra theo điều chế của cell
công suất. Lỗi đƣợc xác định là khi có sai khác của 2 đại lƣợng này, sơ đồ khối thể
hiện nhƣ Hình 1. 7, đây là phƣơng án tƣơng đối đơn giản, dễ thực hiện. Tuy nhiên,
nghiên cứu mới chỉ ra phát hiện lỗi cho phƣơng pháp dịch mức sóng mang. Do đó,

16


việc phát triển thuật toán phát hiện lỗi cho phƣơng pháp điều chế vector không gian
(SVM) của nghịch lƣu đa mức đặc biệt là khi số mức tăng cao là hƣớng nghiên cứu
cần thực hiện.
* Phƣơng pháp dùng mạng neural phân loại THD của điện áp đầu ra từ đó phát
hiện ra lỗi [75], [76]. Tƣơng tự nhƣ phƣơng pháp trên phƣơng pháp này cũng đòi
hỏi phần cứng xử lý mạnh và cơ cấu mạch đo có độ chính xác rất cao. Bên cạnh đó,
sử
Vg1 Vg2

Vdc

Vout

Vgn
Fault Cell
Cellx

Reference
Calculation

Fault
Detection
Block

Active Cell
Composer


Vref
Comparator

Error=0

1

CNT1

>CT1

Set

-TH

Fault signal
Reset

TH
-1

Error=0

CNT2

>CT2

Hình 1. 7. Sơ đồ khối phát hiện lỗi theo sai lệch điện áp [73].

Cost function

minimization

CHB
Cells
vo,i

>

CHB model
prediction

AC source
vs

v*o,i

i*s

is(k+1)
For selected Sj,i

n-Loads
Fault detection
and localization

vs

is

vo,i


ϕ
+

Sin()
vs

θs
+

PLL

vo,i

vs

v*o,i
<

vo,i

Is,pk

Reference current
prediction

io,i

Luenberger
observer


is

Hình 1. 8. Sơ đồ khối phát hiện lỗi theo sai lệch dòng điện với dòng dự báo [77].

17


dụng mạng neural địi hỏi phải có dữ liệu lớn, tuy nhiên khi có bất kỳ sự thay đổi về
cấu hình của cầu H (tăng hoặc giảm số mức) cần có bộ dữ liệu mới.
* Phƣơng pháp dựa vào sai lệch dòng điện dự báo và dòng điện đo đƣợc từ đó
phát hiện ra lỗi [77], [78]. Việc dựa vào mơ hình đối tƣợng, tác giả đã dự báo dịng
điện ở thời điểm i(k+1). Sự sai khác của tính hiệu dự báo i(k+1) và dòng điện đo
đƣợc ở i(k+1) sẽ so sánh bƣớc thay đổi của dòng. Lỗi của nghịch lƣu đƣợc xác định
khi sự sai khác này lớn hơn giá trị ngƣỡng đặt trƣớc, sơ đồ khối thể hiện nhƣ Hình
1. 8. Với phƣơng pháp này đã mang lại hiệu quả khi không phải dùng thêm các thiết
bị phụ trợ trong việc phát hiện lỗi. Tuy nhiên, phƣơng pháp này cũng địi hỏi mạch
đo chính xác rất cao để có thể phát hiện lỗi chính xác. Bên cạnh đó, phƣơng pháp
cũng địi hỏi phải có mơ hình chính xác cao, thời gian tính tốn nhanh.
Luận án này sẽ đề xuất một phƣơng án chẩn đoán lỗi hở mạch van bán dẫn công
suất dựa trên việc so sánh sự tƣơng ứng giữa điện áp đầu ra cell công suất thực tế và
điện áp đầu ra cell cơng suất theo tín hiệu điều khiển. Khi có sự sai khác bất thƣờng
xảy ra, cell cơng suất đƣợc xác định là có lỗi và bị loại bỏ bằng phần mềm và phần
cứng trong thời gian nhỏ nhất có thể, dễ dàng thực hiện, mạch đo điện áp khơng u
cầu về độ chính xác q cao. Việc mơ phỏng và thực nghiệm thuật tốn đề xuất sẽ
chứng minh đƣợc tính đúng đắn thuật tốn.
b. Xử lý lỗi
Sau khi đã phát hiện đƣợc lỗi, cell công suất bị lỗi sẽ đƣợc loại bỏ thông qua thiết
bị đóng cắt. Sau đó, sẽ áp dụng thuật tốn điều chế trong trƣờng hợp bị lỗi. Đã có
khá nhiều nghiên cứu về về việc khắc phục lỗi trong bộ nghịch lƣu.


Hình 1. 9. Hình ảnh mơ tả phương pháp “bypass cell” [47]

18


VAB

VAB
VAB

HA5
HA4
HA4

HA3

Lỗi cell HA5, HB4,HB5

HA3

Dịch điểm trung tính
HA2
o

120

o

HC2

HC3

HB1

120o

HC2

HB2

HC3

HB3

HC4

HB4

HC4

VCA

120

HC1

120

HC1
HC1


o

HA1

o

HA1

120

HB5

HC5

VCA

HC5

µo

αo

HA2

HC2

HB1
o


120

HC3

HB2

VCA

HB3

o

β

HC4

VBC

HC5

VBC

VBC

Hình 1. 10. Hình ảnh mơ tả phương pháp dịch điểm trung tính [79], [41]

* Phƣơng pháp “bypass cell” chứa van bán dẫn bị lỗi đƣợc đề xuất ở tài liệu [80].
Phƣơng pháp này tƣơng đối đơn giản, dễ thực hiện, tạo ra điện áp cân bằng giữa các
pha. Bằng cách thực hiện “bypass cell” bị lỗi và các cell không bị lỗi ở các pha
tƣơng ứng để đảm bảo dòng điện, điện áp các pha là cân bằng. Do các cell không bị

lỗi ở các pha tƣơng ứng cũng sẽ bị loại bỏ dẫn đến điện áp đầu ra bị suy giảm lớn.
Sơ đồ nguyên lý thể hiện nhƣ Hình 1. 9.

a)

b)

19


c)

d)

Hình 1. 11. Ảnh hưởng của vector điện áp ra khi mạch nghịch lưu xảy ra lỗi.

(a) Dạng vector điện áp ra khi cell HA1 bị lỗi. (b) Dạng vector điện áp ra khi
cell HB1 bị lỗi. (c) Dạng vector điện áp ra khi cell HA1, HB1 bị lỗi. (d) Dạng
vector điện áp ra khi cell HA1, HB1, HC1 bị lỗi.
* Để khắc phục nhƣợc điểm của phƣơng pháp “bypass cell”, phƣơng pháp dịch
điểm trung tính đã đƣợc đề xuất ở tài liệu [79], [41]. Với phƣơng pháp này, chỉ cell
lỗi bị loại bỏ dẫn đến điện áp ra thƣờng lớn hơn so với phƣơng pháp “bypass cell”.
Sơ đồ nguyên lý dịch điểm trung tính thể hiện nhƣ Hình 1. 10.
* Kỹ thuật điều chế vector không gian để xử lý lỗi đƣợc đề xuất ở [81]. Bằng
việc xác định vùng ảnh hƣởng của không gian vector điện áp khi xảy ra lỗi của cell
công suất trên các pha nhƣ Hình 1. 11, vector điện áp khơng bị lỗi là hình trịn,
vector điện áp lỗi thể hiện là hình tam giác. Nghiên cứu đã chỉ ra đƣợc điện áp lớn
nhất mà nghịch lƣu đa mức có thể tạo ra mà vẫn đảm bảo các điều kiện về cân bằng
điện áp, dòng điện. Phƣơng pháp này mang lại hiệu quả tƣơng đƣơng với phƣơng
pháp dịch điểm trung tính. Tuy nhiên, cả hai phƣơng pháp trên đều khá phức tạp,

đặc biệt là khi số mức tăng cao. Chính vì vậy, đa số các nghiên cứu chỉ xác định cho
nghịch lƣu với số mức hữu hạn. Đồng thời cách lựa chọn tổ hợp trạng thái đóng cắt
làm xuất hiện điện áp common - mode lớn, gây ảnh hƣởng xấu khi vận hành lâu dài.
Điện áp common mode (CMV) là hiệu điện thế giữa điểm trung tính của tải và
bộ biến đổi. CMV có những tác động xấu khi vận hành hệ thống, đặc biệt khi phụ
tải là động cơ. CMV là một trong các nguyên nhân chính làm giảm tuổi thọ của các
loại động cơ. Đã có các phƣơng án sử dụng bộ lọc tích cực hoặc thụ động làm giảm
CMV [82], nhƣng làm tăng kích thƣớc và giá thành của hệ thống.
Nhận thấy nhược điểm của các phương pháp đã được nghiên cứu, luận án đề
xuất thuật toán phát hiện lỗi van bán dẫn và xử lý hệ thống khi có lỗi hở mạch van.
20


×