Tải bản đầy đủ (.pdf) (171 trang)

(LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (9.09 MB, 171 trang )

BỘ GIÁO DỤC VÀ ĐÀO TẠO

BỘ KHOA HỌC VÀ CÔNG NGHỆ

VIỆN NĂNG LƯỢNG NGUYÊN TỬ VIỆT NAM

ĐẶNG LÀNH

NGHIÊN CỨU, XÂY DỰNG HỆ THIẾT BỊ THU NHẬN VÀ
XỬ LÝ SỐ LIỆU DỰA TRÊN KỸ THUẬT DSP QUA ỨNG
DỤNG FPGA PHỤC VỤ NGHIÊN CỨU VẬT LÝ HẠT NHÂN
THỰC NGHIỆM

LUẬN ÁN TIẾN SĨ VẬT LÝ

ĐÀ LẠT, 2013

download by :


BỘ GIÁO DỤC VÀ ĐÀO TẠO

BỘ KHOA HỌC VÀ CÔNG NGHỆ

VIỆN NĂNG LƯỢNG NGUYÊN TỬ VIỆT NAM

Đặng Lành

NGHIÊN CỨU, XÂY DỰNG HỆ THIẾT BỊ THU NHẬN VÀ
XỬ LÝ SỐ LIỆU DỰA TRÊN KỸ THUẬT DSP QUA ỨNG
DỤNG FPGA PHỤC VỤ NGHIÊN CỨU VẬT LÝ HẠT NHÂN


THỰC NGHIỆM
Chuyên ngành: Vật lý Nguyên tử
Mã số: 62.44.01.06
LUẬN ÁN TIẾN SĨ VẬT LÝ

Người hướng dẫn khoa học: PGS TS Nguyễn Nhị Điền

Đà Lạt, 2013

download by :


i

LỜI CAM ĐOAN
Tơi xin cam đoan đây là cơng trình nghiên cứu chủ yếu do tôi thực hiện dưới
sự hướng dẫn khoa học của PGS TS Nguyễn Nhị Điền. Bên cạnh đó, tơi cịn nhận
được sự tham gia hỗ trợ ñắc lực của các ñồng nghiệp trong nhóm nghiên cứu. Các
số liệu thực nghiệm và kết quả nghiên cứu nêu trong luận án chủ yếu tổng hợp từ
các cơng trình nghiên cứu đã đăng tải trên các tạp chí, kỷ yếu hội nghị khoa họccông nghệ và không sao chép từ bất cứ cơng trình nào.
Tác giả

download by :


ii

LỜI CÁM ƠN
Để hồn thành luận án này tơi đã nhận được sự giúp đỡ của nhiều người.
Trước hết, tơi xin được bày tỏ lịng biết ơn sâu sắc đến PGS TS Nguyễn Nhị

Điền, Phó Viện trưởng Viện Năng lượng nguyên tử Việt Nam về việc Thầy ñã ñịnh
hướng ñề tài khoa học, bình duyệt kết quả nghiên cứu, tận tình hướng dẫn và hết
lịng giúp đỡ tơi suốt tiến trình thực hiện luận án.
Xin chân thành cám ơn PGS TS Nguyễn Đức Hòa, Hiệu trưởng Trường Đại
học Đà Lạt về việc Thầy đã truyền đạt cho tơi những kiến thức, kinh nghiệm quý
báu và hỗ trợ tôi trong quá trình nghiên cứu.
Xin chân thành cám ơn TS Phạm Đình Khang, Giám ñốc Trung tâm Đào tạo
hạt nhân, Viện Năng lượng nguyên tử Việt Nam về việc gợi ý nghiên cứu liên quan
ñến hướng phục vụ thực nghiệm vật lý hạt nhân, cũng như ln tạo điều kiện thuận
lợi cho tơi trong q trình làm luận án.
Xin chân thành cám ơn TS Nguyễn Xuân Hải, Giám ñốc Trung tâm Đào tạo,
Viện Nghiên cứu hạt nhân về việc bố trí thí nghiệm trên kênh và thảo luận thú vị về
các hệ phổ kế dùng trong ghi-đo bức xạ ion hóa. Xin chân thành cám ơn: ThS-NCS
Nguyễn An Sơn, Trường Đại học Đà Lạt về những nỗ lực ñáng kể trong phối hợp
công việc, hợp tác nghiên cứu; ThS-NCS Phạm Ngọc Sơn, KSC-NCS Phạm Ngọc
Tuấn, ThS-NCS Trần Tuấn Anh, CN Tưởng Thị Thu Hường, Phòng Vật lý và Điện
tử hạt nhân về sự hợp tác có hiệu quả trong cơng việc.
Xin trân trọng cám ơn Ban Lãnh ñạo Viện Năng lượng nguyên tử Việt Nam,
Ban Lãnh ñạo Viện Nghiên cứu hạt nhân ln ủng hộ, động viên, tạo mọi điều kiện
để nghiên cứu sinh hoàn thành nhiệm vụ. Xin cám ơn các anh, chị Phòng Vật lý và
Điện tử hạt nhân, những ñồng nghiệp ñã tham gia trực tiếp hoặc gián tiếp trong các
đề tài nghiên cứu khoa học-cơng nghệ liên quan ñến luận án.
Nhân dịp này, tôi xin ñược gửi lời cám ơn chân thành tới bạn hữu xa, gần về
việc ln chia sẻ tình cảm và giúp đỡ tơi những lúc khó ngặt bằng khả năng cùng
tâm tương ái.
Đà Lạt, ngày 26 tháng 12 năm 2013
Nghiên cứu sinh

download by :



iii

THE ABSTRACT OF DOCTORAL THESIS
Author: Dang Lanh
Supervisor: Assoc Prof. Dr Nguyen Nhi Dien
Title of the thesis: Studying on and the construction of DSP-based instruments via
application of FPGA for experimental nuclear physics research.
Major: Atomic Physics
Code: 62.44.01.06
Institution: Vietnam Atomic Energy Agency (VINATOM)

THE CONTENT OF THE ABSTRACT
1. The aim of the dissertation: The aim of the thesis is to study, design and
fabricate some functional electronics modulars for radiation measurements and
detection at the horizontal channels in DaLat research reactor by Digital Signal
Processing (DSP) techniques via applications of Field Programmable Gate Arrays
(FPGA).
2. Objectives: The objectives of the thesis is to focus on exploitation of Very high
speed integrated circuit Hardware Description Language (VHDL) with
mathematical algorithms for creating an FPGA entity to an integrated product that
has flexible processing capabilities and entirely controlled by software.
3. Research methods as follows: Moving Window Deconvolution (MWD) method
for re-constructing the charge of any radiation event interacted detector
environment; Signal processing method before the conditioning stage (APP) for
making an adaption bridge between time-variant analog domain with Infinite
Impluse Response (IIR) and time-invariant digital domain with Finite Impulse
Response (FIR); Digital Pulse Processing (DPP) method using Low Pass Filter
(LPF), High Pass Filter (HPF) and High Pass Deconvolver (HPD) to convert energy
information into trapezoidal signals, Digital Base Line Restorer (BLR) to stabilize

spectra, Add-subtract units to detect peaks with pile-up rejection; Using Visual C++
and LabView to develop application procedures obtaining and control of data.
4. New contributions of the dissertation: 1) Research and application of Digital
Pulse Processing (DPP) successfully, handling Analog Pulse Shape (ASP) from the
radiation measurement detectors and quantizing signals through A/D conversion in
development of digital instruments. 2) Design, fabrication of functional electronics
modulars based on DSP via FPGA for domestic demands. 3) Development of the
VHDL code to build MCAs in algorithms through ISE or Max+PlusII, and of the
application programs under Windows in the object-oriented language VC++,
LabView to acquire data.
5. Results of the dissertation: As to hardware, the thesis designed, constructed and
gave a usage of the following instruments: FPGA-MCA8K, DSP-MCA1K, DSPMCA8K modulars. All the instruments were capable of interfacing to PC via µC.
Related to self-executed software, the thesis developed digital procedures to digitize
signals in FPGA entity via ISE-Xilinx, designed logic projects inside the FPGA
with logic-logic linking method via Max+PlusII-Altera, created application
programs named MCANRI and MCADSP for getting and processing data.

download by :


iv

6. Conclusions: In the past, most of popular functional electronics modulars were
normally based on traditional analog techniques, complicated and not convenient
for use. This dissertation deals with a new design of contemporary techniques based
on FPGA devices via DSP with VHDL. The outstanding advantage of DSP
techniques and FPGA technology is capable of enhancement of the quality of the
experimental measurements for nuclear radiation. The digital instruments are
established with FPGA devices. One of the new development directions for building
experimental systems of nuclear physics studies and applications of nuclear

technology is utilization of FPGA and DSP techniques. This direction meets
effectively the more increasing requirements on the accuracy of ionizing radiation
measurements. Since that, a novel generation of spectrometry systems is compact
on size, convenient in terms of connectivity and use. The outstanding advantage of
DSP techniques and FPGA technology is capable of enhancement of the quality of
the experimental measurements for nuclear radiation, minimization of functional
electronics modules as well as the economic investment. Besides, an important
element of the system based on DSP and FPGA is low power consumption to save
energy that has a special meaning in large equipments. With these advantages, the
applied research via FPGA, DSP in design and fabrication of radiation measurement
instruments for fundamental research in nuclear physics, especially about the study
of nuclear structure and data on neutron beams at the Dalat reactor and on the
charged particle beam accelerators for domestic needs is essential.
Supervisor

Post-Graduate

Nguyen Nhi Dien

Dang Lanh

Nguyen Nhi Dien

Dang Lanh

download by :


v


MỤC LỤC
LỜI CAM ĐOAN........................................................................................................ I
LỜI CÁM ƠN.............................................................................................................II
THE ABSTRACT OF DOCTORAL THESIS……………………………………..III
MỤC LỤC ................................................................................................................. V
BẢNG CHỮ VIẾT TẮT ........................................................................................... X
DANH MỤC HÌNH ................................................................................................XV
DANH MỤC BẢNG ............................................................................................. XIX
MỞ ĐẦU .................................................................................................................... 1
CHƯƠNG 1

VAI TRỊ CHỨC NĂNG CỦA DSP, FPGA VÀ THUẬT TỐN

ĐỂ PHÁT TRIỂN, ỨNG DỤNG THIẾT BỊ ĐIỆN TỬ HẠT NHÂN TRONG GHIĐO BỨC XẠ

.......................................................................................................... 4

1.1. Tình hình nghiên cứu, ứng dụng ở trong và ngồi nước ..................................... 4
1.1.1. Tình hình nghiên cứu, ứng dụng ở ngồi nước............................................. 4
1.1.2. Tình hình nghiên cứu, ứng dụng ở trong nước ............................................. 5
1.2. Vai trò chức năng của DSP và FPGA ................................................................. 6
1.2.1. Xử lý tín hiệu số (DSP)................................................................................. 6
1.2.2. Mảng các phần tử logic có khả năng lập trình (FPGA) ................................ 8
1.2.2.1. Giới thiệu................................................................................................ 8
1.2.2.2. Tích hợp các chức năng của FPGA........................................................ 9
1.3. Ứng dụng của DSP và FPGA trong thiết bị ñiện tử .......................................... 10
1.4. Phương pháp ñiện tử kỹ thuật số ....................................................................... 11
1.4.1. Phương pháp khử tích chập trong cửa sổ động (MWD) thực hiện thuật toán
DSP ....................................................................................................................... 11
1.4.1.1. Giới thiệu.............................................................................................. 11

1.4.1.2. Tái cấu trúc điện tích của sự kiện ........................................................ 12
1.4.2. Phương pháp thiết kế bộ ghi-đo và xử lý tín hiệu bằng kỹ thuật DSP ....... 17
1.4.2.1. Giới thiệu hệ phổ kế trên cơ sở DSP.................................................... 17
1.4.2.2. Các tầng ñiện tử chính.......................................................................... 17
1.4.2.3. Cấu trúc bộ tiền xử lý tương tự (APP) và dạng tín hiệu ...................... 18

download by :


vi

1.4.2.4. Hình thành xung................................................................................... 19
1.4.2.5. Mạch hồi phục đường cơ bản (BLR) ................................................... 21
1.4.2.6. Tác vụ chọn lựa xung ........................................................................... 21
1.4.2.7. Khóa xóa và phân biệt thời gian tăng................................................... 23
1.4.3. Mơ hình thuật tốn DSP dùng trong thiết kế bộ ghi-ño bức xạ.................. 24
1.4.3.1. Giới thiệu.............................................................................................. 24
1.4.3.2. Bộ tạo dạng xung số (DPS) hình thang................................................ 25
1.4.3.3. Nhận xét ............................................................................................... 27
1.4.4. Biến đổi A/D dựa trên phép khử tích chập trong cửa sổ ñộng ................... 27
1.4.4.1. Giới thiệu.............................................................................................. 27
1.4.4.2. Biến ñổi A/D-Biểu diễn tương ñương.................................................. 27
1.4.5. Phương pháp liên kết cổng logic dùng FPGA trong Max+Plus II ............. 29
1.5. Các bộ xử lý xung kiểu số (DPP) và bộ hình thành xung tương tự (APS). Ưu
ñiểm của ñiện tử truyền thống và ñiện tử số............................................................. 31
1.5.1. Sơ ñồ cấu trúc của bộ DPP và bộ APS ....................................................... 31
1.5.2. Ưu và nhược của kỹ thuật lọc số ................................................................ 33
1.5.2.1. Đáp ứng xung hữu hạn (FIR) ............................................................... 33
1.5.2.2. Hồi phục cạnh ñỉnh phẳng và khả năng nhập/xuất dữ liệu của MCA . 33
1.6. Thuật toán xử lý số liệu thực nghiệm ................................................................ 35

1.6.1. Độ chuẩn xác của đỉnh khi có nền phơng ................................................... 35
1.6.2. Độ phân giải năng lượng của ñỉnh hấp thụ tồn phần ................................ 37
1.6.3. Tính các đường cong định chuẩn................................................................ 37
1.6.4. Độ phi tuyến tích phân (INL) ..................................................................... 37
1.6.5. Độ phi tuyến vi phân (DNL)....................................................................... 38
Tóm tắt chương 1...................................................................................................... 38
CHƯƠNG 2

THIẾT KẾ, CHẾ TẠO CÁC KHỐI ĐIỆN TỬ CHỨC NĂNG

CHO HỆ GHI-ĐO BỨC XẠ GAMMA VÀ NƠTRON........................................... 41
2.1. Thiết kế, chế tạo các khối thiết bị dùng FPGA, DSP ghép PC ......................... 41
2.1.1. Thiết kế-chế tạo khối FPGA-MCA8K........................................................ 41
2.1.1.1. Phương pháp ứng dụng và sơ đồ tích hợp các bộ phận ñiện tử ........... 41

download by :


vii

2.1.1.2. Bộ xử lý trung tâm (CPU) và hoạt ñộng của khối FPGA-MCA8K..... 43
2.1.1.3. Đặc trưng kỹ thuật của khối FPGA-MCA 8K ñã chế tạo .................... 44
2.1.2. Thiết kế-chế tạo khối DSP-MCA1K dùng FPGA nhờ VHDL ................... 45
2.1.2.1. Sơ ñồ tổng thể của thiết kế ................................................................... 45
2.1.2.2. Các thành phần vi mạch trong thực thể................................................ 46
2.1.2.3. Hình thành bộ nhớ kép (DPRAM) và ROM nhờ ISE .......................... 47
2.1.2.4. Hình thành bộ xử lý trung tâm (CPU).................................................. 48
2.1.2.5. Xây dựng máy phát xung tam giác/hình thang bằng VHDL ............... 49
2.1.2.6. Đặc trưng kỹ thuật của thiết bị DSP-MCA1K ..................................... 49
2.1.3. Thiết kế, chế tạo khối DSP-MCA8K dùng FPGA...................................... 50

2.1.3.1. Sơ ñồ khối của thiết bị DSP-MCA8K.................................................. 50
2.1.3.2. Cấu trúc hệ thống của khối thiết bị DSP-MCA8K .............................. 50
2.1.3.3. Tầng xử lý tương tự-số có sử dụng bộ tiền lọc tương tự (APP) .......... 52
2.1.3.4. Bộ khử tích chập bằng mạch lọc cao qua (HPD)................................. 53
2.1.3.5. Khối làm chậm và trộn tín hiệu............................................................ 54
2.1.3.6. Bộ lọc thấp qua (LPF) .......................................................................... 55
2.1.3.7. Tầng phát hiện ñỉnh, logic ñiều khiển và bộ nhớ phổ.......................... 56
2.1.3.8. Tầng giao diện giữa vi ñiều khiển EZ và thanh ghi/bộ nhớ................. 57
2.1.3.9. Các ñặc trưng và tham số kỹ thuật của khối DSP-MCA8K ................ 57
2.2. Đánh giá khả năng áp dụng các khối ñiện tử ñã chế tạo trong cấu hình đo của hệ
phổ kế trùng phùng ................................................................................................... 58
2.2.1. Một số cấu hình hệ đo trùng phùng γ-γ tại Viện NCHN ............................ 58
2.2.1.1. Cơ sở và phương pháp thiết kế ............................................................ 59
2.2.1.2. Thiết kế nguyên tắc cho hệ trùng phùng số ghi “sự kiện-sự kiện” ...... 59
2.2.2. Khả năng áp dụng của một số khối ñiện tử ñã chế tạo trong cấu hình của hệ
đo trùng phùng ...................................................................................................... 60
2.3. Thiết kế, chế tạo hệ ghi-ño nơtron qua vi ñiều khiển dòng EZ-USB............... 60
2.3.1. Các thành phần thiết bị ............................................................................... 61
2.3.2. Thiết kế, chế tạo khối MCA8K dùng vi ñiều khiển EZ-USB..................... 61
2.3.3. Lưu ñồ thuật toán ........................................................................................ 62

download by :


viii

2.3.4. Đặc trưng kỹ thuật của hệ phổ kế ghi nơtron ............................................. 63
2.4. Phát triển chương trình ứng dụng thu nhận dữ liệu cho hệ ghi-ño gamma và
nơtron ....................................................................................................................... 64
2.4.1. Phát triển chương trình ứng dụng thu nhận dữ liệu MCANRI bằng VC++. 64

2.4.1.1. Lưu đồ thuật tốn và giải thích lưu đồ ................................................. 64
2.4.1.3. Chương trình lưu phổ ........................................................................... 65
2.4.2. Phát triển chương trình ứng dụng dữ liệu DSPMCA bằng LabView......... 67
2.4.2.1. Hàm kết nối thiết bị.............................................................................. 68
2.4.2.2. Các hàm ñiều khiển luồng dữ liệu ....................................................... 69
2.4.2.3. Phần mềm ứng dụng ñiều khiển thiết bị .............................................. 70
2.4.3. Phát triển chương trình vi điều khiển bằng C Keil51 ................................. 74
2.4.3.1. Chức năng của chương trình vi điều khiển bằng C Keil51.................. 74
2.4.3.2. Lưu đồ thuật tốn và giải thích lưu ñồ ................................................. 75
Tóm tắt chương 2...................................................................................................... 75
CHƯƠNG 3

KẾT QUẢ THỰC NGHIỆM VÀ THẢO LUẬN.......................... 77

3.1. Mục tiêu, ñối tượng, vai trò của thủ tục kiểm tra thiết bị.................................. 77
3.2. Các thiết bị hỗ trợ kiểm tra và ñiều kiện tiến hành ........................................... 78
3.3. Thí nghiệm kiểm tra các tham số ñặc trưng kỹ thuật của thiết bị chế tạo......... 79
3.3.1. Kiểm tra chỉ số kênh của khối thiết bị ........................................................ 79
3.3.2. Kiểm tra ñộ phi tuyến vi phân (DNL) ........................................................ 80
3.3.2.1. Độ phi tuyến vi phân của khối FPGA-MCA8K (DNLFPGA-MCA8K)...... 80
3.3.2.2. Độ phi tuyến vi phân của khối DSP-MCA8K (DNLDSP-MCA8K)........... 82
3.3.3. Kiểm tra độ phi tuyến tích phân (INL) ....................................................... 84
3.3.3.1. Độ phi tuyến tích phân của khối FPGA-MCA8K (INLFPGA-MCA8K)..... 84
3.3.3.2. Độ phi tuyến tích phân của khối DSP-MCA8K (INLDSP-MCA8K) ......... 86
3.3.4. Kiểm tra ñộ chuẩn xác về số ñếm và tần suất dữ liệu vào-ra ..................... 87
3.3.4.1. Độ chuẩn xác về số ñếm và tần suất dữ liệu vào-ra của khối FPGAMCA8K............................................................................................................. 87
3.3.4.2. Độ chuẩn xác về số ñếm và tần suất dữ liệu vào-ra của khối DSPMCA8K............................................................................................................. 88

download by :



ix

3.3.5. Kiểm tra Khi bình phương (χ2) ................................................................... 89
3.4. Thí nghiệm kiểm tra các ñặc trưng vật lý cơ bản của thiết bị ghi-ño bức xạ .... 90
3.4.1. Chuẩn năng lượng và tính diện tích đỉnh quang ......................................... 90
3.4.2. Xây dựng ñường cong hiệu suất ................................................................. 93
3.5. Đo phổ gamma với nguồn 60Co và 137Cs ........................................................... 94
3.5.1. Đo phổ thực nghiệm với khối DSP-MCA8K chế tạo lần 1 ........................ 94
3.5.2. Đo phổ thực nghiệm với khối DSP-MCA8K chế tạo lần 2 ........................ 95
3.6. Kiểm tra khối thiết bị DSP-MCA1K ................................................................. 97
3.7. Hệ ñếm nơtron dùng trên kênh ngang ............................................................... 99
3.8. Thảo luận kết quả thực nghiệm ....................................................................... 100
3.8.1. Thảo luận kết quả...................................................................................... 100
3.8.2. Một số vấn ñề cần ñề cập khi số hóa thiết bị bằng VHDL ....................... 102
KẾT LUẬN ............................................................................................................ 105
1. Các cơng việc đã làm được trong luận án .......................................................... 105
2. Điểm mới của luận án......................................................................................... 106
3. Ý nghĩa khoa học và thực tiễn ............................................................................ 106
4. Đề xuất hướng nghiên cứu cần tiếp tục ............................................................. 107
5. Một số kinh nghiệm rút ra từ luận án ................................................................. 108
DANH MỤC CƠNG TRÌNH CỦA TÁC GIẢ ...................................................... 109
TÀI LIỆU THAM KHẢO ...................................................................................... 111
PHỤ LỤC A: HAI PHƯƠNG PHÁP LẬP TRÌNH CHO FPGA DỊNG
EPM7160E CỦA HÃNG ALTERA DÙNG MƠI TRƯỜNG MAX+PLUS II ..... 120
PHỤ LỤC B: THUẬT TOÁN GENIE-2000 ĐỂ ĐỊNH CHUẨN HIỆU SUẤT
VÀ DIỆN TÍCH ĐỈNH HẤP THỤ TRONG PHỔ GAMMA …………………...122
PHỤ LỤC C: CHƯƠNG TRÌNH MÃ NGUỒN VHDL ĐỂ PHÁT TRIỂN HỆ PHỔ
KẾ ĐA KÊNH DSP-BASED MCA 8K ................................................................. 131
PHỤ LỤC D: MÃ NGUỒN CHƯƠNG TRÌNH MCA ......................................... 140

PHÁT TRIỂN BẰNG VC++ ................................................................................... 140
PHỤ LỤC E: HÌNH ẢNH THIẾT BỊ ĐÃ CHẾ TẠO ...………………………………...145

download by :


x

BẢNG CHỮ VIẾT TẮT
Viết tắt

Tiếng Anh

Tiếng Việt

AC

Alternative Current

Dòng xoay chiều

ACC

Accumulator

Bộ tích lũy

ACQT

Acquisition Time


Thời gian thu nhận

ADC

Analog to Digital Converter

Bộ biến ñổi tương tự sang số

ADCL

ADC side Latching

Chốt ñịa chỉ cho phía ADC

ADC*

ADC signal with low validity

Tín hiệu ADC hiệu lực thấp

A/D

Analog to Digital Conversion

Biến ñổi tương tự sang số

AMP

Amplifier


Khuếch ñại phổ kế

APP

Analog conditioning Pre-Processor Bộ tiền xử lý tương tự

APS

Analog Pulse Shaper

Bộ hình thành xung (kiểu) tương tự

ARC

Amplitude and Risetime

Bù biên ñộ và thời gian tăng

Compensation
BL

Base Line

Đường cơ bản

BLR

Baseline Restorer


Mạch hồi phục ñường cơ bản

BUSY

Busy

Bận biến ñổi

CD

Continuous Discharge

Xả (ñiện) liên tục

CFD

Constant Fraction Discriminator

Bộ phân biệt phân đoạn khơng đổi

CG

Coarse Gain

Hệ số khuếch đại thơ

CI

Carry Input


Ngõ vào có nhớ

CLB

Configurable Logic Block

Khối logic có thể định cấu hình

CMOS

Complementary metal-oxide

Chất bán dẫn kim loại ơxit bù

semiconductor
CO

Carry Output

COINC. U Coincidence Unit

Ngõ ra có nhớ
Khối trùng phùng

CDP

Continuous Discharge preamplifier Tiền khuếch ñại xả liên tục

CONVT


Conversion Time

Thời gian biến ñổi

CSP

Charge Sensitive Preamplifier

Tiền khuếch ñại nhạy ñiện tích

download by :


xi

DAC

Digital to Analog Converter

Bộ biến ñổi số sang tương tự

DACC

Data Accepted

Nhận xong dữ liệu

D/A

Digital to Analog Conversion


Biến ñổi số sang tương tự

DC

Direct Current

Dòng một chiều

DCM

Digital Clock Manager

Bộ quản lý xung nhịp (dạng) số

DGF

Digital Gamma Finder

Hệ phát hiện bức xạ gamma (kiểu) số

DIFT

Differentiating Time

Thời gian lấy vi phân

DL(U)

Delay Unit


Khối (làm) trễ

DNL

Differential Non-Linearity

Độ phi tuyến vi phân

DP-5

The fifth Data Processor

Bộ xử lý dữ liệu (mơ hình) thứ 5

DPP

Digital Pulse Processing

Xử lý xung (kỹ thuật) số

DPRAM

Dual Port Random Access

Bộ nhớ thâm nhập ngẫu nhiên hai cổng

Memory
DPS


Digital Pulse Shaper

Bộ hình thành xung (dạng) số

DR

Data Ready

Dữ liệu sẵn sàng

DS

Delay-Subtract Unit

Đơn vị trừ-làm chậm

DSPs

Digital Signal Processor

Bộ xử lý tín hiệu số

DSP

Digital Signal Processing

Xử lý tín hiệu số

DT


Deadtime

Thời gian chết

EA

Exponential Averaging

Lấy trung bình hàm mũ

ECON

Enable Conversion

Cho phép biến ñổi

ENDA

Enable data

Cho phép xuất dữ liệu

EOC

End of Conversion

Chấm dứt biến ñổi

EZ_IOD


EZ In-Out Data

Dữ liệu vào-ra bộ vi ñiều khiển EZ-USB

FA

Fast Amplifier

Bộ khuếch ñại nhanh

FET

Field Effect Transistor

Tranzistor hiệu ứng trường

FG

Fine Gain

Hệ số khuếch ñại tinh

FIFO

First In First Out

Vào trước ra trước

FIR


Finite Impulse Response

Đáp ứng xung hữu hạn

FPGA

Field Programmable Gate Arrays

Mảng các phần tử logic khả lập trình

download by :


xii

FSM

Finite State Machine

Cơ chế trạng thái hữu hạn

FSR

Full Scale Range

Thang ñếm toàn phần

FWHM

Full Width at Half Maximum


Độ rộng nửa chiều cao

GRLIB

Thư viện lõi IP

HDL

Hardware Description Language

Ngôn ngữ mô tả phần cứng

HPD

High Pass Deconvolution

Khử tích chập nhờ mạch lọc cao qua

HPF

High Pass Filter

Bộ lọc (tần số) cao qua

HPGe

High Purity Germanium

Vật liệu germanium siêu tinh khiết


HVPS

High Voltage Power Supply

Nguồn Cao thế

ICR

Incoming Count-Rate

Tốc ñộ ñếm xung vào

IEEE

Institute of Electrical and

Viện Kỹ thuật ñiện và ñiện tử

Electronics Engineers, Inc. (Eyetriple-E)
IIR

Infinite Impulse Response

Đáp ứng xung vơ hạn

INL

Integral Non-Linearity


Độ phi tuyến tích phân

INTT

Intergrating Time

Thời gian lấy tích phân

ISA

Integrated System Architecture

Kiến trúc hệ thống tích hợp

IOB

Input-Output Block

Khối vào/ra

ISE

Intergrated Software Environment Mơi trường phần mềm tích hợp

I/O

Input/Output

Nhập/Xuất


IODIR

In-Out Direction (of data)

Hướng vào-ra (của dữ liệu)

I/V

Current to Voltage (conversion)

(đổi) Dịng sang thế

LC

Logic Cell

Tế bào logic

LE

Leading Edge

Sườn dẫn (sườn tăng)

LG

Linear Gate

Cổng tuyến tính


LL

Lower Level

Mức (ngưỡng) dưới

LPF

Low Pass Filter

Bộ lọc (tần số) thấp qua

LSB

Least Significant Bit

Bit trọng số thấp nhất

LTI

Linear Time-Invariant system

Hệ thống bất biến thời gian tuyến tính

LUT

Look-Up Table

Bảng cập nhập nội dung (tham số)


download by :


xiii

MA

Moving Average

Trung bình trượt

MAC

Multiply and Accumulate

Nhân và tích lũy

MCA

Multi-Channel Analyzer

Hệ phân tích đa kênh

MCD

Multi-channel Data Processing

Xử lý dữ liệu đa kênh

MEOE


Memory output enabling

Cho phép xuất dữ liệu từ bộ nhớ

MIOD

Memory Input-Output Data

Dữ liệu nhập-xuất bộ nhớ

MSB

Most Significant Bit

Bit trọng số cao nhất

MWD

Moving Window Deconvolution

Khử tích chập trong cửa sổ động

M[A0-

Memory Address [0 – 15]

Địa chỉ bộ nhớ từ 0 tới 15

A15]

NIM

Nuclear Instrumentation Modulars Các khối thiết bị ñiện tử hạt nhân

NSR

Normalized Step Response

Đáp ứng bậc chuẩn hóa

NEI

Nuclear Electronics Instruments

Thiết bị ñiện tử hạt nhân

OE

Output Enabling

Cho phép xuất

PCF

Physical Constraints File

Tập tin ràng buộc thực thể

PE


Port Enabling

Cho phép (mở) cổng

PIC

Programmable Interrupt Controller Bộ vi điều khiển ngắt lập trình được

PLL

Port Link side Latching

Chốt dữ liệu vào phía cổng truyền

PL*

Port Link side with low validity

Phía cổng truyền hiệu lực thấp

PROM

Programmable Read Only

Bộ nhớ chỉ đọc khả lập trình

Memory
PSEL

Port Selection


Chọn cổng

Pre-AMP

Preamplifier

Tiền khuếch đại

PSA

Pulse Shape Analysis

Phân tích dạng xung

PUR

Pile-Up Rejection

Loại bỏ chồng chập

P-Z

Pole-Zero cancellation

Bù trừ cực-không

RAM

Random Access Memory


Bộ nhớ thâm nhập ngẫu nhiên

RD/WR

Read/Write

Đọc/Viết

RSS

Reference Set-up System

Hệ thống xác lập tham chiếu

(or PA)

download by :


xiv

RTD

Risetime Discrimination

Phân biệt thời gian tăng

RFP


Resistor Feedback Preamplifier

Tiền khuếch ñại phản hồi bằng trở

RPG

Random Pulse Generator

Máy phát xung ngẫu nhiên

RTPU

Real Time Processing Unit

Đơn vị xử lý thời gian thực

SACP

Summation of Amplitude

(Phương pháp) Cộng biên ñộ các xung

Coincidence Pulse (method)

trùng phùng

SCA

Single Channel Analyzer


Hệ phân tích đơn kênh

SLCTIN

Selecting In

Chọn ngõ vào

SUT

System Under Test

Hệ thống cần kiểm tra

S/N

Signal to Noise ratio

Tỷ số tín hiệu/tạp âm

SRAM

Static Random Access Memory

Bộ nhớ thâm nhập ngẫu nhiên tĩnh

TAC

Time to Analog Conversion


Biến ñổi thời gian sang biên ñộ

TDI

Transferring Data Input

Truyền dữ liệu vào thiết bị FPGA

TDO

Transferring Data Output

Xuất dữ liệu ra khỏi thiết bị FPGA

TFA

Timing Filter Amplifier

Bộ khuếch ñại lọc thời gian

TRP

Transistor Reset Preamplifier

Tiền khuếch ñại xóa bằng tranzistor

TS

Time Stamp


Đánh dấu mốc thời gian

TSC

Two-Step γ Cascades method

Phương pháp nối tầng chuyển dời tia γ
hai bậc

T/H

Track and Hold (pulse-peaks)

Tìm và giữ ñỉnh xung

UCF

User Constraints File

Tập tin ràng buộc của người dùng

UL

Upper Level

Mức (ngưỡng) trên

USB

Universal Serial Bus


Đường truyền nối tiếp ña năng

VHDL

Very high speed integrated circuit Ngôn ngữ mô tả phần cứng mạch tích
Hardware Description Language

hợp tốc độ rất cao

XIA

X-ray Instrumentation Agency

Hiệp hội (xây dựng) trang thiết bị tia X

XST

Xilinx Synthesis Technology

Cơng nghệ tổng hợp của hãng Xilinx

µC

Micro Controller

Bộ vi ñiều khiển

µP


Micro Processor

Bộ vi xử lý

download by :


xv

DANH MỤC HÌNH
Hình 1.1: Cơ cấu FPGA đơn giản …………………………………………………

8

Hình 1.2: Cấu hình bảng tra cứu với dữ liệu nhập/xuất …………………………...

9

Hình 1.3: Khối logic lập trình cơ bản trong FPGA ………………………………..

9

Hình 1.4: FPGA với các cột khối RAM được tích hợp ……………………………

10

Hình 1.5: Kết hợp các bộ nhân, cộng, tích lũy tạo tổ hợp MAC …………………..

10


Hình 1.6: Sơ đồ khối hệ xử lý xung số (DPP) ……………………………………..

17

Hình 1.7: Các tín hiệu minh họa tác vụ xử lý xung ……………………………….

19

Hình 1.8: Sơ đồ khối APP trong hệ phổ kế ………………………………………..

19

Hình 1.9: Đáp ứng xung ñược tạo ra bởi hệ thiết bị số ……………………………

20

Hình 1.10: Các tín hiệu chỉ ra hoạt động của kênh nhanh ………………………...

20

Hình 1.11: Các tín hiệu biểu thị tác vụ thực hiện chống chồng chập …………

22

Hình 1.12: Đơn vị kết hợp tác vụ làm chậm-thuật tốn trừ ……………………….

24

Hình 1.13: Bộ khử tích chập mạch cao qua kiểu số ……………………………….


24

Hình 1.14: Cấu hình HPD như bộ bù trừ P-Z số …………………………………..

26

Hình 1.15: Sơ đồ bộ DPS hình thang/tam giác ……………………………………

26

Hình 1.16: Mơ hình thuật tốn tạo tam giác/hình thang khi tín hiệu PA là hàm mũ

27

Hình 1.17: (a) Phương pháp thang trượt chuẩn, (b) Biểu diễn tương ñương của
phương pháp thang trượt chuẩn …………………………………………………...

28

Hình 1.18: (a) Phép biến đổi trước lọc, (b) Khiểu biến ñổi phi tuyến, (c) Kiểu
biến ñổi thống kê, (d) Biểu diễn thống kê tương ñương của biến đổi A/D ………..

29

Hình 1.19: Sơ đồ bộ tạo dạng xung tương tự APS ………………………………...

31

Hình 1.20: Sơ đồ đơn giản hóa của bộ DPP lý tưởng ……………………………..


31

Hình 1.21: Trái-các dạng xung trong APS. Phải-các dạng xung trong DPP ……...

32

Hình 1.22: Ngõ ra bộ vi phân đối với hình thành xung tương tự (trái) và số (phải)

34

Hình 1.23: Tín hiệu từ 3 bộ tạo dạng khác nhau …………………………………..

34

Hình 1.24: Tính diện tích đỉnh …………………………………………………….

35

Hình 1.25: Tính INL của MCA ……………………………………………………

38

Hình 2.1: Sơ đồ cấu trúc khối FPGA-MCA8K ghép máy tính ……………………

42

download by :


xvi


Hình 2.2: Bản mạch DSP-Spartan-3E, Xilinx ……………………………………...

45

Hình 2.3: Cấu trúc tổng thể khối DSP-MCA1K dùng FPGA ……………………..

45

Hình 2.4: Bộ nhớ phổ trong FPGA ………………………………………………..

47

Hình 2.5: Hình thành DPRAM trong FPGA bằng ngơn ngữ VHDL nhờ ISE ……

47

Hình 2.6: Quy trình thực hiện CPU và thành phần vi mạch thực hiện tác vụ ..

48

Hình 2.7: Kết quả sau khi nạp trình tạo CPU thành cơng …………………………

49

Hình 2.8: Sơ đồ khối xử lý xung số (DPP) ………………………………………..

50

Hình 2.9: Sơ đồ cấu trúc tổng thể của khối DSP-MCA8K ………………………..


51

Hình 2.10: Sơ đồ ngun lý bộ APP ………………………………………………

52

Hình 2.11: Sơ đồ ngun lý tầng biến đổi A/D nhanh …………………………….

53

Hình 2.12: Bộ khử tích chập (HPD) ……………………………………………….

54

Hình 2.13: Tầng làm chậm và trộn tín hiệu …………………………………

54

Hình 2.14: Bộ lọc thấp qua (LPF) …………………………………………………

55

Hình 2.15: Tầng phát hiện đỉnh và lưu phổ …………………………………

56

Hình 2.16: Tầng giao diện của µC …………………………………………..

57


Hình 2.17: Sơ đồ hệ phổ kế trùng phùng sử dụng TAC tại Viện NCHN …………

59

Hình 2.18: Sơ ñồ nguyên tắc của hệ trùng phùng “sự kiện-sự kiện” kiểu số ……..

60

Hình 2.19: Sơ đồ khối hệ ghi-đo nơtron …………………………………………..

60

Hình 2.20: Sơ đồ tổng thể khối MCA8K dùng EZ-USB trong hệ đếm nơtron ……

62

Hình 2.21: Lưu đồ thuật tốn của chu trình đọc/viết thời gian ……………………

63

Hình 2.22: Lưu đồ thuật tốn cho chương trình giao tiếp máy tính ……………….

64

Hình 2.23: Lưu đồ thuật tốn xử lý phổ của chương trình ứng dụng MCANRI …..

66

Hình 2.24: Phổ Co-60 và Cs-137 đo được khi dùng chương trình MCANRI …….


67

Hình 2.25: Hàm kết nối thiết bị với máy tính ……………………………………..

68

Hình 2.26: Biểu diễn hàm cho phép tải vi chương trình vào EZ ………………….

68

Hình 2.27: Hàm cho phép viết/đọc một byte dữ liệu ……………………………...

69

Hình 2.28: Hàm cho phép đọc/viết nhiều byte dữ liệu cùng lúc …………………..

69

Hình 2.29: Trang giao diện của chương trình DSPMCA ………………………….

70

Hình 2.30: Trình đơn mở tập tin ………………………………………………...

71

Hình 2.31: Trình đơn xác lập các tham số thời gian ………………………………

71


download by :


xvii

Hình 2.33: Trình đơn đặt ngưỡng …………………………………………………

71

Hình 2.34: Trình đơn chuẩn năng lượng …………………………………………..

72

Hình 2.35: Trình đơn xử lý vùng quan tâm ………………………………………..

72

Hình 2.36: Hiển thị các tham số liên quan phổ ……………………………………

72

Hình 2.37: Phím khởi phát/dừng chương trình ……………………………………

73

Hình 2.38: Hình biểu diễn con trỏ và các biểu tượng co-giãn phổ ………………..

73


Hình 2.39: Lưu đồ thuật tốn trình vi ñiều khiển ………………………………….

75

Hình 3.1: Cấu hình kiểm tra hoạt ñộng logic của 2i số kênh ño theo chỉ số i ……

79

Hình 3.2: Kết quả kiểm tra chỉ số kênh tương ứng dùng chương trình
MCANRI.exe ……………………………………………………………………...

80

Hình 3.3: Cấu hình thí nghiệm ño ñộ phi tuyến vi phân DNLFPGA-MCA8K …………

81

Hình 3.4: Phổ tuyến tính vi phân của hệ SUT dùng khối FPGA-MCA8K ………..

81

Hình 3.5: Độ phi tuyến vi phân của khối FPGA-MCA8K ………………………...

82

Hình 3.6: Cấu hình thí nghiệm đo DNLDSP-MCA8K …………………………………

83

Hình 3.7: Phổ tuyến tính vi phân của hệ SUT dùng khối DSP-MCA8K ………….


83

Hình 3.8: Độ phi tuyến vi phân của khối DSP-MCA8K …………………………..

83

Hình 3.9: Cấu hình kiểm tra INL% của khối FPGA-MCA8K …………………….

84

Hình 3.10: Đường cong biểu diễn INL của hệ hợp bộ dùng FPGA-MCA8K …….

85

Hình 3.11: Thí nghiệm kiểm tra INLDSP-MCA8K ……………………………………

86

Hình 3.12: Đường cong biểu diễn INL của DSP-MCA8K (INLDSP-MCA8K) ……….

87

Hình 3.13: Cấu hình kiểm tra giá trị χ2 của hệ hợp bộ dùng FPGA-MCA8K …….

90

Hình 3.14: Hệ phổ kế đo phổ gamma từ nguồn 152Eu ……………………………..

90


Hình 3.15: Phổ 152Eu và ñường chuẩn năng lượng qua phép khớp 10 ñỉnh có các
giá trị năng lượng-kênh ghi trong bảng 3.11a ……………………………………..

91

Hình 3.16: Đường chuẩn hiệu suất ghi đầu dị theo năng lượng …………………..

93

Hình 3.17: Đo phổ gamma của nguồn 60Co, 137Cs dùng khối DSP-MCA8K với
đầu dị HPGe lần 1 ...................................................................................................

94

Hình 3.18: Phổ thực nghiệm 60Co, 137Cs dùng DSP-MCA8K .................................

94

Hình 3.19: Đo phổ gamma của nguồn 60Co, 137Cs dùng khối DSP-MCA8K với
đầu dị HPGe lần 2 ...................................................................................................

download by :

95


xviii

Hình 3.20: Phổ 60Co và 137Cs đo bằng DSP-MCA8K chế tạo lần 2 ........................


96

Hình 3.21: Đỉnh 661.7 keV của 137Cs trong hai hệ đo DSPEC và DSP-MCA8K ...

96

Hình 3.22: Đỉnh 1332.5 keV của 60Co trong hai hệ ño DSPEC và DSP-MCA8K ..

96

Hình 3.23: Phổ thu được từ máy phát xung tam giác của khối DSP-MCA1K ........

98

Hình 3.24: Phổ nơtron đo trên kênh ngang số 4 Lò Đà Lạt .....................................

99

download by :


xix

DANH MỤC BẢNG
Bảng 3.1: Kết quả kiểm tra ñộ phi tuyến vi phân của SUTFPGA-8K và RSSAccuspec …

82

Bảng 3.2: Kết quả kiểm tra ñộ phi tuyến vi phân của SUTDSP-8K và RSSDSPEC ……


84

Bảng 3.3: Giá trị các cặp thế-kênh thu ñược khi kiểm tra INLFPGA-MCA8K ………...

85

Bảng 3.4: Độ phi tuyến tích phân của hai hệ hợp bộ khi kiểm tra ………………...

85

Bảng 3.5: Giá trị các cặp thế-kênh thu ñược khi kiểm tra INLDSP-MCA8K ………….

86

Bảng 3.6: Độ phi tuyến tích phân INLDSPEC và INLDSP-MCA8K ……………………..

87

Bảng 3.7: Số đếm tích lũy theo thời gian thực và ñộ lệch số ñếm giữa hai hệ đo ...

88

Bảng 3.8: Số đếm tích lũy theo thời gian thực và ñộ lệch số ñếm của hai khối
DSP-MCA8K và DSPEC …………………………………………………..

88

Bảng 3.9a: Phân tích số liệu thống kê đếm để tính giá trị Khi bình phương ……...


89

Bảng 3.9b: Bảng so sánh kết quả χ2 của hai hệ SUT và RSS …………………….

90

Bảng 3.10: Thơng tin thời gian, địa điểm, nguồn, đầu dị dùng trong hệ đo ……...

91

Bảng 3.11a: Các giá trị ñịnh lượng thực nghiệm của 10 ñỉnh năng lượng gamma
từ nguồn 152Eu ……………………………………………………………………..

92

Bảng 3.11b: Các giá trị hoạt ñộ nguồn, thời gian ño, hiệu suất phát hiện ñỉnh
năng lượng gamma từ ñồng vị 152Eu ……………………………………….

93

Bảng 3.12: Giá trị thực nghiệm của các ñỉnh gamma trong hai hệ RSS và SUT .....

95

Bảng 3.13: So sánh các tỷ số diện tích đỉnh với phơng trong hai khối thiết bị lần 1

95

Bảng 3.14: Số liệu thực nghiệm của phổ thu trong hai hệ ño chế tạo lần 2 .............


97

download by :


1

MỞ ĐẦU
Thiết bị ñiện tử hạt nhân trên cơ sở áp dụng các linh kiện điện tử mạch tích
hợp mảng các phần tử logic lập trình được (FPGA) và kỹ thuật xử lý tín hiệu số
(DSP) là một trong những hướng phát triển mới ñể xây dựng các hệ thực nghiệm
nghiên cứu vật lý hạt nhân và ứng dụng của kỹ thuật hạt nhân ñáp ứng những yêu
cầu ngày càng cao về độ chính xác của các phép ghi-đo bức xạ ion hóa. Ưu điểm
nổi bật của kỹ thuật DSP và công nghệ FPGA là khả năng nâng cao chất lượng
trong các thực nghiệm ghi-ño bức xạ hạt nhân, giảm thiểu số lượng các khối điện tử
và giảm kinh phí ñầu tư. Bên cạnh ñó, các hệ thống thiết bị trên cơ sở DSP và
FPGA có cơng suất tiêu thụ thấp nên tiết kiệm năng lượng, ñiều này ñặc biệt quan
trọng khi xây dựng hệ thống thiết bị lớn. Với những ưu ñiểm vừa ñề cập ở trên, các
nghiên cứu áp dụng công nghệ FPGA và kỹ thuật DSP trong các nghiên cứu chế tạo
thiết bị ghi-ño bức xạ là rất cần thiết. Tuy nhiên, cho ñến những năm gần ñây các
nghiên cứu áp dụng kỹ thuật DSP và công nghệ FPGA ở trong nước nói chung và
tại Viện Nghiên cứu hạt nhân (NCHN) nói riêng cịn rất khiêm tốn. Mặc dù có thể
trang bị các thiết bị theo cơng nghệ tích hợp tiên tiến nêu trên bằng cách nhập khẩu
sản phẩm từ nước ngoài, song việc tự nghiên cứu phát triển nhằm từng bước nội địa
hóa các hệ điện tử chun dụng đã hoặc chưa có thương mại hóa là nhu cầu thực tế.
Vì những lý do đã trình bày ở trên, vấn ñề “Nghiên cứu, xây dựng hệ thiết bị thu
nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên
cứu vật lý hạt nhân thực nghiệm” ñã ñược chọn làm ñề tài luận án của nghiên cứu
sinh. Các mục tiêu cụ thể ñã ñược xác ñịnh trong luận án là nghiên cứu, thiết kế-chế
tạo một số khối ñiện tử phục vụ thí nghiệm đo đếm bức xạ hạt nhân trên các kênh

ngang của Lò phản ứng hạt nhân Đà Lạt, bao gồm: 1) Nghiên cứu ứng dụng dịng
FPGA đặc thù EPM7160E ñể thiết kế, chế tạo khối FPGA-MCA8K dùng phương
pháp liên kết cổng logic trong môi trường Max+PlusII; 2) Thiết kế, chế tạo khối
DSP-MCA1K và khối DSP-MCA8K dựa trên DSP qua ứng dụng dịng FPGA
XC3S400 và XC3S500 trong mơi trường ISE; 3) Phát triển phần mềm logic hóa các
thuật tốn xử lý tín hiệu số bằng VHDL dùng cho các khối thiết bị ñược thiết kế-chế

download by :


2

tạo; 4) Phát triển phần mềm ghi-ño và xử lý phổ trên nền Windows XP bằng ngôn
ngữ VC++ và LabView, kể cả trình vi điều khiển cho µC.
Các nội dung nghiên cứu chính đã được thực hiện trong luận án bao gồm:
• Phân tích tổng quan về q trình phát triển hệ phổ kế ña kênh và hệ phổ kế trùng
phùng ở trong và ngồi nước.
• Nghiên cứu phương pháp khử tích chập trong cửa sổ động (MWD) để thiết kế,
chế tạo hệ phổ kế đa kênh kỹ thuật số.
• Tiến hành thực nghiệm thiết kế, chế tạo các khối ñiện tử và thử nghiệm thực tế
các khối ñiện tử ñã chế tạo trên dòng nơtron tại kênh ngang Lò phản ứng hạt nhân
cũng như với một số nguồn ñồng vị chuẩn.
Nhằm thực hiện các nội dung chính vừa nêu, các phương pháp và kỹ thuật
được ứng dụng để có ñược các mục tiêu cụ thể là:
• Phương pháp thang trượt chuẩn và kỹ thuật thang bổ chính độ rộng kênh ñể phát
triển thành phần biến ñổi tương tự-số trong các khối ADC và MCA.
• Phương pháp thiết kế mạch điện tử bằng kiểu lập trình kết nối mạch tích hợp
FPGA và kiểu lập trình điều khiển phần cứng bằng ngơn ngữ VHDL.
• Kỹ thuật lập trình Windows bằng ngơn ngữ hướng ñối tượng C++ và LabView ñể
phát triển chương trình điều khiển thu nhận dữ liệu và xử lý phổ.

• Phương pháp xử lý số liệu thực nghiệm nhằm xác ñịnh các ñại lượng vật lý trong
phổ và ñặc trưng kỹ thuật của hệ thiết bị dùng trong ghi-ño bức xạ ion hóa gồm:
thuật tốn khớp đỉnh đơn với phân bố Gauss bằng phương pháp bình phương tối
thiểu, tính diện tích và phương sai của đỉnh hấp thụ tồn phần bằng phương pháp
thực nghiệm của ORTEC hoặc Genie-2000, ñịnh chuẩn năng lượng bằng phép hồi
quy bậc hai, tính độ phân giải ñỉnh quang qua ñộ lệch chuẩn của ñỉnh, tính các độ
phi tuyến vi-tích phân (DNL-INL) của hệ thống dùng thuật tốn hồi quy tuyến tính
cùng các tham số ñặc trưng kỹ thuật khác của hệ thiết bị ñược chế tạo.
Luận án gồm hai phần chính: phần tổng quan và phần nghiên cứu. Phần tổng
quan trình bày và phân tích tình hình nghiên cứu phát triển thiết bị điện tử hạt nhân
ở trong và ngồi nước, liên quan đến mục tiêu và nội dung của luận án. Phần nghiên
cứu trình bày các nội dung nghiên cứu về phương pháp, thực nghiệm và kết quả của

download by :


3

luận án. Nội dung của luận án được trình bày trong ba chương. Chương 1 trình bày
tổng quan về quá trình phát triển hệ phổ kế đa kênh và hệ phổ kế trùng phùng ở
trong nước và trên thế giới, trong đó tập trung phân tích các hướng nghiên cứu liên
quan ñến mục tiêu và nội dung của luận án; trình bày các phương pháp, kỹ thuật
được sử dụng trong luận án, đặc biệt là phương pháp khử tích chập trong cửa sổ
ñộng ñể thiết kế, chế tạo hệ phổ kế đa kênh kỹ thuật số và thuật tốn xử lý số liệu
thực nghiệm. Chương 2 trình bày các thực nghiệm thiết kế, chế tạo và thử nghiệm
các khối ñiện tử; phát triển phần mềm ứng dụng thu nhận dữ liệu và điều khiển thiết
bị. Chương 3 trình bày các kết quả kiểm tra và áp dụng thử nghiệm thực tế các khối
ñiện tử ñã chế tạo; tiến hành ghép nối, thử nghiệm các khối ñiện tử ñã chế tạo thành
hệ phổ kế ñộc lập; các kết quả thực nghiệm khảo sát các ñặc trưng của hệ phổ kế ñã
thiết lập của luận án; tiến hành ghép nối kiểm tra và áp dụng thử nghiệm hệ ño

nơtron trên kênh thực nghiệm nằm ngang của Lò phản ứng; kết quả kiểm tra và áp
dụng chương trình đã phát triển với các nguồn ñồng vị

60

Co,

137

Cs,

152

Eu và thảo

luận về các kết quả thực nghiệm thu ñược. Phần kết luận của luận án nêu lên các kết
quả chính, các đóng góp mới của luận án, ý nghĩa khoa học và thực tiễn của luận án,
ñồng thời ñề xuất hướng nghiên cứu cần tiếp tục.

download by :


4

Chương 1 VAI TRÒ CHỨC NĂNG CỦA DSP, FPGA VÀ THUẬT
TOÁN ĐỂ PHÁT TRIỂN, ỨNG DỤNG THIẾT BỊ ĐIỆN TỬ HẠT
NHÂN TRONG GHI-ĐO BỨC XẠ
Các hệ thống phổ kế hạt nhân ñược dùng ñể ghi-ño các bức xạ hạt nhân gồm
tia X, tia gamma, các tia beta cũng như alpha, nơtron và các hạt nặng tích điện khác.
Các phép đo thực nghiệm trong vật lý hạt nhân có thể bao gồm đếm sự kiện, ghi

thơng tin về năng lượng-thời gian, và sự kết hợp giữa chúng. Thông thường, các
tham số thay ñổi trong phép ño là dải năng lượng của bức xạ và tốc ñộ ghi ño các sự
kiện. Các nghiên cứu về thời gian sống và sơ ñồ phân rã, thực nghiệm trùng phùng,
ñếm photon ñơn, và các nghiên cứu bức xạ hủy positron thường địi hỏi độ phân giải
năng lượng và ñộ phân giải thời gian tốt. Do vậy, ñể nâng cao chất lượng kết quả
nghiên cứu, các hệ thống thiết bị ñiện tử hạt nhân phải ñáp ứng ñược yêu cầu ngày
càng cao của các nghiên cứu thực nghiệm.
1.1. Tình hình nghiên cứu, ứng dụng ở trong và ngồi nước
1.1.1. Tình hình nghiên cứu, ứng dụng ở ngồi nước
Giai đoạn trước những năm 1990, nhiều phịng thí nghiệm trên thế giới ñã sử
dụng các hệ thống ñối trùng và trùng phùng ñể nghiên cứu cấu trúc hạt nhân. Các hệ
phổ kế này sử dụng thiết bị ñầu dị và các khối điện tử kiểu tương tự. Chẳng hạn, hệ
phổ kế triệt Compton dùng cho phổ học tia gamma với đầu dị HPGe thể tích lớn
113 cm3 được bố trí trong đầu dị NaI(Tl) kích thước 22.9 cm x 25.4 cm [30], hệ
phổ kế trùng phùng γ-γ dùng cho phân tích kích hoạt nơtron dụng cụ [61], hệ phổ kế
gamma nối tầng hai bậc (TSC) của phản ứng (n,2γ) ở các năng lượng nơtron nhiệt
[60] và nghiên cứu các hàm lực photon [57], ... Các hệ vừa nêu ñều sử dụng các khối

ñiện tử truyền thống chuẩn NIM do các hãng Ortec, Canberra chế tạo như: AMP,
ADC, MCD, TAC, CFD, v.v… và ñáp ứng tốt yêu cầu thực nghiệm. Song song với
các cơng trình nghiên cứu vật lý vừa nêu, có rất nhiều cơng trình liên quan đến việc
xây dựng và phát triển thiết bị phục vụ các nghiên cứu này, hầu hết các cơng trình
đó đều sử dụng cơng nghệ điện tử thế hệ mới là DSP và FPGA; chẳng hạn như cơng
trình đề cập đến sự cải thiện độ phân giải vị trí của các đầu dò HPGe chất lượng cao
sử dụng các phương pháp phân tích biên độ xung [67], hoặc phân tích biên độ xung

download by :



×