Tải bản đầy đủ (.pdf) (7 trang)

Fabrication and application of silicon nanowire transistor arrays for biomolecular detection

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (1.05 MB, 7 trang )

Please cite this article in press as: X.T. Vu, et al., Fabrication and application of silicon nanowire transistor arrays for biomolecular detection, Sens.
Actuators B: Chem. (2009), doi:10.1016/j.snb.2008.11.048
ARTICLE IN PRESS
G Model
SNB-11150; No. of Pages 7
Sensors and Actuators B xxx (2009) xxx–xxx
Contents lists available at ScienceDirect
Sensors and Actuators B: Chemical
journal homepage: www.elsevier.com/locate/snb
Fabrication and application of silicon nanowire transistor arrays for
biomolecular detection
X.T. Vu, R. GhoshMoulick, J.F. Eschermann , R. Stockmann, A. Offenhäusser, S. Ingebrandt

Institute of Bio- and Nanosystems and CNI – Centre of Nanoelectronic Systems for Information Technology, Forschungszentrum Jülich GmbH,
Leo-Brandt-Str., D-52428 Jülich, Germany
article info
Article history:
Available online xxx
Keywords:
Biosensor
Silicon nanowire transistor arrays
Field-effect sensors
Nanoimprint lithography
abstract
We present a novel approach for large-scale silicon nanowire (SiNW) array fabrication for bioelectronic
applications. Nanoimprint lithography was combined with standard CMOS processing on 4in. SOI wafers
in order to produce highly integrated arrays of siliconnanowire field-effect transistors (SiNW-FET). Witha
very smooth surface due to wet anisotropic etching, SiNW-FET arrays show a good electronic performance
with a subthreshold slope of about 85 mV/decade. When applying a front-gate control of the wires via an
electrochemical reference electrode, reliable electronic performance inside an electrolyte solution can be
achieved. Our SiNW-FET sensors exhibit almost no electronic hysteresis on forward and backward bias


sweeps. In this article the fabrication process, electronic and electrochemical characterizations and first
biomolecular detection experiments are presented. For biodetection experiments we used a differential
readout between molecule-free wires and wires carrying covalently attached biomolecules such as short,
single-stranded DNA or biotin. With our SiNW-FET arrays a reliable detection of biomolecular layers can
be achieved.
© 2008 Elsevier B.V. All rights reserved.
1. Introduction
Nano-scale bioelectronic devices have the potential to achieve
exquisite sensitivity for the direct detection of biomolecular inter-
actions at surfaces. Because of their high surface-to-volume ratio,
fast response time and reliability of the electronic readout, sil-
icon nanowire field-effect transistor (SiNW-FET) arrays promise
ultra high sensitivity for various, label-free biosensing applications.
These device types will have a high impact for analyses in biomed-
ical diagnosis and early warning of bioterrorism attacks. In the past
few years, the number of reports about SiNW-biosensors, which
were either fabricated by “top-down” or “bottom-up” methods, is
steadily increasing. The biosensors were used for glucose detec-
tion [1], protein binding orDNA hybridization detection [2–4], virus
detection [5], and even for extracellular recording from electrogenic
cells [6].
For real biosensor applications, the reliability of the devices
and the reduction of the fabrication cost are the major issues.
In our project, we developed a wafer-scale approach to fabri-
cate the SiNW-FET biosensors. We employ a novel method in

Corresponding author. Present address: University of Applied Sciences Kaiser-
slautern - Campus Zweibrücken, Department of Informatics & Microsystem
Technology, Amerikastr.1, D-66482 Zweibrücken, Germany. Tel.: +49 6332 914 413;
fax: +49 6332 914 313.

E-mail address: (S. Ingebrandt).
nanofabrication, the nanoimprint lithography [7], in combina-
tion with anisotropic wet etching with tetramethylammonium
hydroxide (TMAH) [8,9]. In addition, our process includes stan-
dard CMOS processes like wet, dry etching and conventional
photolithography techniques. We improved the device perfor-
mance by boron doping on the conducting lines to reduce the
serial resistance, while retaining the high charge mobility inside
the SiNW-FETs. Chips were passivated by a layer of low pres-
sure chemical vapor deposited (LPCVD) SiO
2
. As gate oxide of
the SiNW-FETs, a thin thermal SiO
2
(6–8 nm) was chosen, which
serves as input dielectric. Main advantage of our process flow is
that mass production with reproducible devices can be achieved.
We developed a portable electronic readout system for the use
of the SiNW-FET arrays in biosensing experiments [10,11]. With
this system, the simultaneous readout of all 16-channels can be
achieved.
We describe in this article the electrical and electrochemical
characterization of the SiNW transistors. The devices can be oper-
ated by applying a back gate voltage through theburied oxide (BOX)
layer as well asto the front-gatethrough anelectrolyte solution con-
tacted by a liquid-junction Ag/AgCl reference electrode. The wires
showed good pH sensitivity with little hysteresis. As a first proof-
of-principle experiments for biomolecular detection we covalently
immobilized short DNA molecules or biotin molecules at the wire
surfaces. The biomolecules were site-selectively attached at the

array surface using a micro-spotter system. A reliable detection
of the biomolecules can be done by using a differential read-
0925-4005/$ – see front matter © 2008 Elsevier B.V. All rights reserved.
doi:10.1016/j.snb.2008.11.048
Please cite this article in press as: X.T. Vu, et al., Fabrication and application of silicon nanowire transistor arrays for biomolecular detection, Sens.
Actuators B: Chem. (2009), doi:10.1016/j.snb.2008.11.048
ARTICLE IN PRESS
G Model
SNB-11150; No. of Pages 7
2 X.T. Vu et al. / Sensors and Actuators B xxx (2009) xxx–xxx
out between molecule-free wires and wires having biomolecules
attached.
2. Experimental methods
The fabrication process for our SiNW-FET arrays was recently
described in detail [12]. Here we summarize the process and men-
tion the main steps.
2.1. Imprint-mold fabrication
We used the electron-beam writer of the IBN clean room facil-
ities to fabricate the imprint-mold for the thermal nanoimprint
process in house. The mold was etched on a 4 in. silicon wafer from a
200 nm thick, thermal oxide. Structures included templates for wet
etching of nanowires and feed lines to the individual sensor spots.
Fabrication of the structures was done by direct electron-beam
lithography with a poly(methyl methacrylate) (PMMA) e-beam
resist. Structures were transferred into the SiO
2
layer by reactive
ion etching (RIE) with CHF
3
gas. To improve release of the mold

after imprinting and to increase the aspect ratio of the small struc-
tures (down to 100 nm), we used a monolayer of fluorsilane as
anti-adhesion layer on the mold surface.
2.2. Si-nanowire process
For fabrication of the devices we used 4 in. silicon-on-insulator
(SOI) wafers (SOITEC, France) with a BOX thickness of 40 0 nm
and a top Si layer of 360 nm thickness (Si
100 , boron doped
14–22  cm). The wafer carried three different layouts of nanowire
arrays (4 × 4-common source, 16 × 16 and 32 × 32-cross contacts).
The length of the wires was 3 ␮m in all three designs. For investi-
gation of possible size effects we varied the widths of the starting
structures for wet etching by 100 nm, 200 nm, 500 nm, and 1 ␮m
(mask measures), respectively. In Fig. 1a the layout of the 32 × 32
SiNW array and a scanning electron micrograph of a sensor spot
including six wires are shown (Fig. 1b).
A schematic of the process flow is shown in Fig. 2. Firstly the
top silicon layer of the SOI wafer was thinned out down to about
60 nm (Fig. 2, steps 1 and 2). Then the starting structures were trans-
ferred from the mold to the 4 in. SOI wafers by thermal nanoimprint
(Nanonex NX-2000, USA) (Fig. 2, step 3). After imprinting, RIE was
used to etch the residual resist layer and to etch off the SiO
2
layer
between the contact lines (Fig. 2, step 4). Then the device struc-
tures were transferred to the top Si layer by anisotropic wet etching
with TMAH (25%, 90

C) [8,9]. Due to the large etch rate difference
between Si and SiO

2
, the Si was etched off in the regions which were
not covered by the oxide. The etch rate ratio between the Si
100
and the Si 111 directions was about 12:1 in our process. Under
the oxide mask, when the wet etching process reached the
111
surface of the Si layer, the etching process was slowed down. Fur-
ther etching slowly reduced the width of the wires under the oxide
mask (Fig. 2, step 5). To maintain the surface quality of the SiNWs
after TMAH etching, wire structures were protected by a 100 nm
LPCVD silicon oxide. This layer was further structured by optical
lithography to act as protection mask for the feed line implanta-
tion. Boron ions (1 × 10
14
cm
−2
) were implanted on the conducting
lines with an energy of 7 keV and subsequently annealed at 900

C
for 30 min in a nitrogen atmosphere (Fig. 2, step 6). After annealing,
270 nm of LPCVD silicon oxide was deposited for passivation of the
contact lines against the electrolyte solution (Fig. 2, step 7). The gate
areas and the bond pads were re-opened and a contact to the bulk
Si was realized as back gate contact. A high quality thermal silicon
gate oxide (8 nm thickness) was grown on the wires surfaces. At this
stage the 16-channel devices were finalized by deposition of a metal
stack consisting of Al 150 nm, Ti 10 nm and Au 150 nm at the bond
Fig. 1. (a) Differential interference contrast microscopy of the 32 × 32 silicon

nanowire array. (b) SEM image of one sensor spot with six nanowires. The open-
ing of the passivation layers on top of the nanowire area can be seen. (c) Scanning
electron micrograph of a single silicon wire (<100 nm). One can see the Si
100
and Si 111 surfaces of the trapezoid wire structure.
pads (Fig. 2, step 8). For the 16 × 16 and 32 × 32 arrays this metal
layer served as second contact line inside the grid array (Fig. 1a).
To enable operation of these devices in an electrolyte solution, a
nitride-oxide stack was deposited by plasma enhanced chemical
vapor deposition (PECVD) (at the clean room facilities of the Uni-
versity of Applied Sciences Kaiserslautern - Campus Zweibrücken,
Germany) and the bond pads were re-opened.
2.3. Electronic readout and detection methods
For measurements in a liquid environment, devices were wire
bonded on 68-pin LCC carriers (LCC0850, Spectrum, USA) and
encapsulated using glass rings and a biocompatible epoxy glue
Please cite this article in press as: X.T. Vu, et al., Fabrication and application of silicon nanowire transistor arrays for biomolecular detection, Sens.
Actuators B: Chem. (2009), doi:10.1016/j.snb.2008.11.048
ARTICLE IN PRESS
G Model
SNB-11150; No. of Pages 7
X.T. Vu et al. / Sensors and Actuators B xxx (2009) xxx–xxx 3
Fig. 2. Main process steps for the fabrication of the SiNW-FET arrays. Our wafer-scale
process for SOI wafers is combining nanoimprint lithography with wet etching using
TMAH. Contact lines are p-doped for reliable operation of the devices. The finalized
structure in step 8 shows back gate contact, bond pad, contact line and open wire
(from left to right).
(U300 8OZ, Epo-TEK, USA) (Fig. 3b). Recording was done on a wafer
probe station or with our previously described 16-channel FET
amplifier system for dc and for ac readout [10–13] (Fig. 3a). To record

the small currents of the SiNW-FETs in their respective working
points (about 1–10 ␮A), we used a 250 k feedback resistor in the
Fig. 3. (a) Portable, 16-channel amplifier system for SiNW-FETs. The electrochemical
Ag/AgCl reference electrode is fixed on top of the encapsulated chip. (b) Photograph
of a fully encapsulated, 16-channel SiNW chip. (c) Schematic principle of the readout
circuit. By applying a sinusoidal reference signal to the SiNW-FET array, the transfer
function of the system reference electrode/electrolyte solution/biomolecules/SiNW-
FET/first amplifier stage can be recorded using the lock-in electronics of the amplifier
system.
first amplifier stage (Fig. 3c). For operation in a liquid environment,
the gate voltage was applied via a small, liquid-junction Ag/AgCl
electrode (Super Dry-Ref (SDR2), WPI, Germany) and the position
of this electrode with respect to the SiNW-FET array was fixed on
top of the amplifier (see Fig. 3a).
Generally, signals from biomolecular reactions at surfaces (such
as DNA hybridization or protein interaction) can be obtained either
by potentiometric dc [14–17] or impedimetric ac [10,18–21] read-
out. It is generally accepted that for dc readout, the biomolecules
are detected based on their intrinsic charge [14,15,22–26] or based
on a re-distribution of ions near the liquid–solid interface [27].
When SiNW-FETs are functionalized with biomolecular receptors,
specific binding of charged target molecules results in deple-
tion or accumulation of charge carriers inside the SiNWs and
hence in a change of the transistor’s drain–source current. This
is because the resulting change in the surface charge density is
shifting the flat band voltage of the transistor b efore and after the
biomolecular adsorption process. These effects were monitored in
the present article by recording the transfer characteristics of the
SiNW-FETs before and after biomolecule attachment and by a direct
comparison of channels with biomolecules to channels without

biomolecules.
As a second effect, the biomolecular layer on the surface of
the SiNWs is acting as an additional, passive RC element inside
Please cite this article in press as: X.T. Vu, et al., Fabrication and application of silicon nanowire transistor arrays for biomolecular detection, Sens.
Actuators B: Chem. (2009), doi:10.1016/j.snb.2008.11.048
ARTICLE IN PRESS
G Model
SNB-11150; No. of Pages 7
4 X.T. Vu et al. / Sensors and Actuators B xxx (2009) xxx–xxx
the readout circuit (resistance R
mem
and capacitance C
mem
of the
biomembrane in Fig. 3c). The DNA hybridization reaction or the
protein binding is leading to a change of the input impe dance of
the device. This change can be accessed by using an impedimetric
readout method utilizing the transistor transfer function (TTF) prin-
ciple [10,11,18,20,21]. For this detection method the combination of
sensor, its first amplification circuit with feedback resistor R
FB
, the
reference electrode resistance R
RE
, the liquid solution resistance
R
sol
, the contact line capacitance C
CL
, the gate oxide capacitance

C
ox
, and the resistance R
mem
and capacitance C
mem
of an attached
biomolecular membrane are regarded as an electronic circuit of
passive elements. Such a circuit can be well described by a transfer
function H. It is defined by the ratio of the output
v
out
to the input
voltage
v
in
of the amplifier H = v
out
/v
in
(Fig. 3c). The parameter H is
dimensionless and simply describes the attenuation of the system
at a specific frequency.
2.4. Covalent attachment of biomolecules on SiNW-FETs
For covalent immobilization of DNA molecules, the chips were
cleaned and activated in a protocol including both wet chemical and
plasma cleaning. Firstly the chips were immersed for 20 min in 2%
Hellmanex (Hellma, Germany) followed by rinsing with ultra pure
water (Milli-Q, Gradient A10 18.2 M, Millipore Inc., Germany) and
drying with Argon. The final activation of the silicon oxide surface

was done in oxygen plasma (100E Plasma System from Techniques
Plasma GmbH, 1.4 mbar, 200 W, and 1 min). For biofunctionaliza-
tion of the wire surfaces, we used a vapor phase silanization
protocol with 3-glycidoxypropyltrimethoxysilane (GPTES) [28,29].
The chips were placed in a desiccator containing a few drops of
silane (300 ␮l). The desiccator was sealed, heated and the reac-
tion was allowed for 1 h. The complete silanization procedure was
performed inside a glove box containing a water- and oxygen-free
argon atmosphere. The silanization procedure was finalized by rins-
ing several times with ultra pure water in order to remove unbound
silane molecules. Finally all samples were dried with argon. For
micro-spotting with our single-nozzle system with aiming option
[13], the amino-modified 20 base-pair (bp) DNA probes (MWG-
Biotech AG, Germany) were prepared in a concentration of 1 ␮Min
a 0.1 M phosphate buffer of pH 8.5. After micro-spotting, the immo-
bilization process was performed by overnight incubation at 37

C
in a humid atmosphere.
For covalent immobilization of biotin to the SiNW-FETs, the chip
surface was functionalized with 3-aminopropyl-triethoxysilane
(APTES) [30–32]. Chips were wet-chemically cleaned in three steps
including ethanol for 2 min, HCl (2%, v/v) for 2 min, and piranha
solution for 2 min. The chip surfaces were then activated by H
2
SO
4
(20% (v/v), 80

C for 10 min). After each step, the chips were carefully

rinsed with ultra pure water and dried with argon. For silaniza-
tion the chips were transferred to the glove box containing an
argon atmosphere. Silanization with APTES was performe d for 1 h
inside a desiccator, too. A drop of pure APTES (300 ␮l) was placed
inside the desiccator and the whole system was evacuated. The
pressure of the desiccator was controlled at p = 5 mbar residual
argon gas. After silanization the chips were firstly rinsed with 1%
acetic acid and then rinsed with ultra pure water and dried with
argon [30]. The EZ-Link Sulfo-NHS-LC-Biotin (sulfosuccinimidyl-
6-(biotinamido)hexanoate; Pierce Biotechnology, Inc., USA) with a
concentration of 1 ␮M (1 mg/1.5 ml) was dissolved in sodium phos-
phate buffer (2.5 mM, pH 8.2). This biotin solution was spotted on
the SiNW areas using our micro-spotter [13,33]. Then the chips were
incubated for 1 h at 37

C in a humid environment. After that the
chips were rinsed with ultra pure water in order to remove unbound
biotin. This protocol binds the biotin molecules covalently to the
silicon oxide surfaces.
3. Results and discussion
3.1. Process characterization
During the fabrication process of the SiNW arrays imaging ellip-
sometry, scanning electron microscopy, and optical microscopy
were used for process control. By the use of thermal nanoimprint in
combination with the TMAH wet etching, the nanowire structures
were reliably transferred to the full area of the 4 in. SOI wafers.
The imprint process was reproducible with a high aspect ratio of
the structures. The imprint-mold was very stable and was re-used
many times. However, due to the complexity of the structures, the
residual resist layer after nanoimprint was not homogenous. There-

fore the RIE etching of this residual layer was strictly controlled to
maintain the high aspect ratio of the structures.
The anisotropic TMAH etching created a trapezoidal SiNWs
structure having Si
111 sidewalls in an angle of 54.7

with
100 top and bottom surfaces (Fig. 1c). By further etching, the size
of the top and bottom
100 planes will be slowly reduced under
the top oxide mask. The etching rate for the Si
111
direction
was about 20 nm/min for our process. Using this process SiNWs
with very smooth surfaces were achieved (Fig. 1c). Since the contact
lines of our chips were passivated by a high quality LPCVD oxide,
a reliable performance in electrolyte solution was achieved. Addi-
tionally, our chips can be re-used for many experiments by the use
of a standard cleaning protocol [30,31,33].
Fig. 4. When the contact lines of the SiNW-FET array are additionally implanted by
boron, a reliable p-FET operation of the wires can be achieved. (a) Transfer charac-
teristics of a SiNW-FET with p-doped contact lines. (b) Subthreshold characteristics
of a SiNW-FET.
Please cite this article in press as: X.T. Vu, et al., Fabrication and application of silicon nanowire transistor arrays for biomolecular detection, Sens.
Actuators B: Chem. (2009), doi:10.1016/j.snb.2008.11.048
ARTICLE IN PRESS
G Model
SNB-11150; No. of Pages 7
X.T. Vu et al. / Sensors and Actuators B xxx (2009) xxx–xxx 5
Fig. 5. Characterization of the pH sensitivity of the SiNW-FETs (six wires of 400 nm

width per sensor spot). (a) When the silicon contact lines of the wires are not
implanted by boron, an n-FET behavior is achieved. The usage of different pH buffer
solutions is shifting the threshold voltage of the transistors as indicated in the graphs.
(b) When we use an implantation of the contact lines, exclusively a p-FET behavior is
achieved. With different pH buffer solutions the threshold voltage shifts are accord-
ingly. Note that in the graphs both, forward and backward bias sweeps are shown
indication almost no electronic hysteresis.
Fig. 6. Transfer function characteristics of the SiNW-FETs with different NaCl con-
centrations of the electrolyte buffer. The behavior is quite similar to what we usually
achieve with our standard, micro-sized FET arrays.
3.2. Electrical and electrochemical characterization
We previously described that the performance of our SiNW-FETs
inside an electrolyte solution with front-gate operation is depen-
dent on the implantation status of the silicon contact lines. In
Fig. 4 the electronic performance of a SiNW-FET with implanted
contact lines is shown. Fig. 4a shows the transfer characteris-
tics and Fig. 4b the subthreshold characteristics of the device.
The wires can clearly be operated as p-type transistors and the
subthreshold slope was as small as 85 mV/decade for the best
devices.
In Fig. 5a comparison of a device with and without implanted
contact lines is shown. We characterized the pH sensitivity of both
device types using titrisol buffer solutions between pH 2 and 10. The
gate voltage was applied via the front-gate using the liquid-junction
Ag/AgCl reference electrode. In Fig. 5a the n-FET characteristics
of a device with non-implanted contact lines is shown. Note that
in both graphs of Fig. 5 the data for forward and backward bias
sweeps are shown. Both device types show almost no electronic
hysteresis indicating a small density of trapped charges inside the
structure. In previous version of these chips, when we used RIE

etching in contrast to the TMAH etching, the wire surfaces were
much rougher resulting in a strong electronic hysteresis (data not
Fig. 7. Detection of immobilized DNA on the SiNW-FETs. Transfer characteristics
before (solid lines) and after DNA immobilization (dashed lines) are shown. DNA
was site-selectively immobilized on some channels out of the same array using a
micro-spotter. (a) One channel out of the same array having no DNA attached. (b)
Another channel out of the same array having 20-bp DNA attached with a high
grafting density. For the DNA-modified sensor a shift of 250 mV of the flat band
voltage was recorded.
Please cite this article in press as: X.T. Vu, et al., Fabrication and application of silicon nanowire transistor arrays for biomolecular detection, Sens.
Actuators B: Chem. (2009), doi:10.1016/j.snb.2008.11.048
ARTICLE IN PRESS
G Model
SNB-11150; No. of Pages 7
6 X.T. Vu et al. / Sensors and Actuators B xxx (2009) xxx–xxx
shown). For our current device types the transfer characteristics
was shifting to the left side for smaller pH values and to the
right side for larger pH values independent if p-type or n-type
devices were used. The sensitivity in both cases was measured
to 38–41 mV/pH, which is a typical value for silicon oxide sur-
faces.
With our lock-in based amplifier system the SiNW-FET devices
can also be used as impedimetric sensors like recently reported
with our standard, micro-sized FETs [10,11].InFig. 6 the trans-
fer characteristics of a SiNW-FET in buf fer solutions with different
concentrations of NaCl (pH 7) is shown. Similar to what we previ-
ously reported for our micro-sized FETs, the transfer characteristics
is shifting, because the solution resistance R
sol
in the electronic cir-

cuit is changing. The time constant for this low pass is build out
of solution resistance R
sol
plus reference electrode resistance R
RE
in combination with the contact line capacitance C
CL
(Fig. 3c). In
future we will elaborate, if the previously describe d TTF method
for detection of DNA [10] and of cellular adhesion [11] can also be
used with our new SiNW-FET devices.
3.3. Electronic detection of biomolecules
In Fig. 7 the potentiometric detection of a covalently immo-
bilized DNA layer on top of the SiNWs is shown. In Fig. 7aan
exemplary molecule-free channel is shown, whereas the attach-
ment of the dense DNA layer was shifting the flat band voltage of
the SiNW-FET channel (six wires with 160 nm wire width in this
Fig. 8. Detection of covalently immobilized biotin with the SiNW-FET arrays. Trans-
fer characteristics before (solid lines) and after biotin functionalization (dashed
lines) are shown. Biotin was site-selectively immobilized on some channels out of the
same array using a micro-spotter. (a) SiNW-FET channel having no biotin attached.
(b) SiNW-FET channel of the same sensor array having biotin attached. In this case
a flat band voltage shift of 33 mV was recorded.
exemplary recording) by 250 mV. This behavior was confirmed with
several devices and many channels showed a similar shift. The flat
band voltage shift was very large compared to what we previously
reported for the micro-sized FET devices [14,31,33]. For this mea-
surement a sodium phosphate buffer (5 mM, pH 7) was used as
electrolyte solution.
In Fig. 8a similar experiment for detection of biotin with the

SiNW-FET arrays is shown. In the biomolecular-free channels a
minor shift was recorded, whereas in the channels spotted with
biotin a shift of 33 mV was recorded (Fig. 8b). For this measurement
a sodium phosphate buffer (2.5 mM, pH 8.2) was used as electrolyte
solution. Again with several chips containing many channels a sim-
ilar, reliable behavior was observed.
4. Conclusions
We present a robust, wafer-scale fabrication process for SiNW
transistor arrays. With a combination of nanoimprint and TMAH
wet anisotropic etching, we produced smooth surfaces for the SiNW
transistors. We achieved a wire width down to 20 nm on top and
100 nm at the bottom of the trapezoid nanowire structure having
a height of about 60 nm. In future designs the width of the wire
could be even reduced using longer etching times or a thinner start
layer. The devices were successfully operated in a liquid environ-
ment in different kinds of electrolyte solutions. SiNW-FETs with
their silicon oxide surface had a linear pH response with a typi-
cal sensitivity of about 40 mV/pH. The electronic performance was
stable and forward and backward bias sweeps of the transfer char-
acteristics revealed almost no hysteresis. When applying the TTF
method, we showed that the devices are sensitive to different ionic
strengths of the buffer electrolyte similarly to what we previously
reported for our micro-sized FET arrays. For biomolecular experi-
ments the devices were silanized with either APTES or GPTES using
our standard protocols. We present first biomolecular detection
experiments with the SiNW-FET arrays, where we site-selectively
and covalently attached single-stranded DNA molecules and biotin
molecules at the wire surfaces. In the case of DNA we recorded
a very large shift of the flat band voltage of 250 mV. For biotin a
smaller, but still large shift of 33 mV was measured.

With this device platform and this protocol for biofunctionaliza-
tion of the wire surfaces we are now ready for real bioassays such
as DNA hybridization or protein binding. With our current ampli-
fier system capable of simultaneous dc and ac readout we want to
unravel size scaling effects and mechanisms of biomolecular field-
effect detection in future assays.
Acknowledgments
We thank the German Research Foundation for the financial
support through the project “Biointerface—GRK 1035”. Main parts
of the funding came from the Helmholtz association of German
research centers. We like to thank K.H. Deusen, W. Michelsen and
H.P. Bochem for LPCVD deposition, ion implantation and SEM mea-
surements, respectively. We also thank N. Wolters and D. Lomparski
for the electronic readout system and its operation software.
References
[1] X.H. Wang, Y. Chen, K.A. Gibney, S. Erramilli, P. Mohanty, Silicon-based
nanochannel glucose sensor, Appl. Phys. Lett. 92 (1) (2008).
[2] E. Stern, J.F. Klemic, D.A. Routenberg, P.N. Wyrembak, D.B. Turner-Evans, A.D.
Hamilton, D.A. LaVan, T.M. Fahmy, M.A. Reed, Label-free immunodetection
with CMOS-compatible semiconducting nanowires, Nature 445 (7127) (2007)
519–522.
[3] Z.Q. Gao, A. Agarwal, A.D. Trigg, N. Singh, C. Fang, C.H. Tung, Y. Fan, K.D. Bud-
dharaju, J.M. Kong, Silicon nanowire arrays for label-free detection of DNA, Anal.
Chem. 79 (9) (2007) 3291–3297.
Please cite this article in press as: X.T. Vu, et al., Fabrication and application of silicon nanowire transistor arrays for biomolecular detection, Sens.
Actuators B: Chem. (2009), doi:10.1016/j.snb.2008.11.048
ARTICLE IN PRESS
G Model
SNB-11150; No. of Pages 7
X.T. Vu et al. / Sensors and Actuators B xxx (2009) xxx–xxx 7

[4] Y. Cui, Q.Q. Wei, H.K. Park, C.M. Lieber, Nanowire nanosensors for highly sen-
sitive and selective detection of biological and chemical species, Science 293
(5533) (2001) 1289–1292.
[5] F. Patolsky, G.F. Zheng, O. Hayden, M. Lakadamyali, X.W. Zhuang, C.M. Lieber,
Electrical detection of single viruses, Proc. Natl. Acad. Sci. U.S.A. 101 (39) (2004)
14017–14022.
[6] F. Patolsky, B.P. Timko, G.H. Yu, Y. Fang, A.B. Greytak, G.F. Zheng, C.M. Lieber,
Detection, stimulation, and inhibition of neuronal signals with high-density
nanowire transistor arrays, Science 313 (5790) (2006) 1100–1104.
[7] L.J. Guo, P.R. Krauss, S.Y. Chou, Nanoscale silicon field effect transistors fabri-
cated using imprint lithography, Appl. Phys. Lett. 71 (13) (1997) 1881–1883.
[8] Y.X. Liu, K. Ishii, T. Tsutsumi, M. Masahara, E. Suzuki, Ideal rectangu-
lar cross-section Si-Fin channel double-gate MOSFETs fabricated using
orientation-dependent wet etching, IEEE Electron Device Lett. 24 (7) (2003)
484–486.
[9] K. Sato, M. Shikida, T. Yamashiro, K. Asaumi, Y. Iriye, M. Yamamoto, Anisotropic
etching rates of single-crystal silicon for TMAH water solution as a function of
crystallographic orientation, Sens. Actuators A: Phys. 73 (1–2) (1999) 131–137.
[10] S. Ingebrandt, Y. Han, F. Nakamura, A. Poghossian, M.J. Schöning, A. Offen-
häusser, Label-free detection of single nucleotide polymorphisms utilizing the
differential transfer function of field-effect transistors, Biosens. Bioelectron. 22
(12) (2007) 2834–2840.
[11] S. Schäfer, S. Eick, B. Hofmann, T. Dufaux, R. Stockmann, G. Wrobel, A.
Offenhäusser, S. Ingebrandt, Time-dependent observation of individual cel-
lular binding events to field-effect transistors. Biosens. Bioelectron., in press,
doi:10.1016/j.bios.2008.1007.1003.
[12] X.T. Vu, J.F. Eschermann, R. Stockmann, R. GhoshMoulick, A. Offenhäusser, S.
Ingebrandt, Top-down processed silicon nanowire transistor arrays for biosens-
ing. Phys. Status Solidi A: Appl. Mater., in press.
[13] S. Ingebrandt, Y.H. Han, M.R. Sakkari, R. Stockmann, O. Belinskyy, A. Offen-

häusser, Electronic detection of nucleic acid molecules with a field-effect
transistor, in: Semiconductor Materials for Sensing, Warrendale, USA, 2005,
pp. 307–312.
[14] F. Uslu, S. Ingebrandt, D. Mayer, S. Böcker-Meffert, M. Odenthal, A. Offenhäusser,
Labelfree fully electronic nucleic acid detection system based on a field-effect
transistor device, Biosens. Bioelectron. 19 (12) (2004) 1723–1731.
[15] J. Fritz, E.B. Cooper, S. Gaudet, P.K. Sorger, S.R. Manalis, Electronic detection of
DNA by its intrinsic molecular charge, Proc. Natl. Acad. Sci. U.S.A. 99 (22) (2002)
14142–14146.
[16] E. Souteyrand, J.P. Cloarec, J.R. Martin, C. Wilson, I. Lawrence, S. Mikkelsen, M.F.
Lawrence, Direct detection of the hybridization of synthetic homo-oligomer
DNA sequences by field effect, J. Phys. Chem. B 101 (15) (1997) 2980–2985.
[17] B.S. Kang, S.J. Pearton, J.J. Chen, F. Ren, J.W. Johnson, R.J. Therrien, P. Rajagopal,
J.C. Roberts, E.L. Piner, K.J. Linthicum, Electrical detection of deoxyribonucleic
acid hybridization with AlGaN/GaN high electron mobility transistors, Appl.
Phys. Lett. 89 (12) (2006) 122102.
[18] M.M.G. Antonisse, B.H.M. Snellink-Ruel, R.J.W. Lugtenberg, J.F.J. Engbersen, A.
van den Berg, D.N. Reinhoudt, Membrane characterization of anion-selective
CHEMFETs by impedance spectroscopy, Anal. Chem. 72 (2) (2000) 343–348.
[19] E. Katz, I. Willner, Probing biomolecular interactions at conductive and semi-
conductive surfaces by impedance spectroscopy: routes to impedimetric
immunosensors, DNA-sensors, and enzyme biosensors, Electroanalysis 15 (11)
(2003) 913–947.
[20] A.B. Kharitonov, J. Wasserman, E. Katz, I. Willner, The use of impedance spec-
troscopy for the characterization of protein-modified ISFET devices: application
of the method for the analysis of biorecognition processes, J. Phys. Chem. B 105
(19) (2001) 4205–4213.
[21] R.B.M. Schasfoort, G.J. Streekstra, P. Bergveld, R.P.H. Kooyman, J. Greve, Influ-
ence of an immunological precipitate on Dc and Ac behavior of an Isfet, Sens.
Actuators 18 (2) (1989) 119–129.

[22] D. Landheer, G. Aers, W.R. McKinnon, M.J. Deen, J.C. Ranuarez, Model for the
field effect from layers of biological macromolecules on the gates of metal-
oxide–semiconductor transistors, J. Appl. Phys. 98 (4) (2005).
[23] D. Landheer, W.R. McKinnon, W.H. Jiang, G. Aers, Effect of screening on the
sensitivity of field-effect devices used to detect oligonucleotides, Appl. Phys.
Lett. 92 (25) (2008).
[24] M.W. Shinwari, M.J. Deen, D. Landheer, Study of the electrolyte–insulator–
semiconductor field-effect transistor (EISFET) with applications in biosensor
design, Microelectron. Reliab. 47 (12) (2007) 2025–2057.
[25] D. Landheer, W.R. McKinnon, G. Aers, W. Jiang, M.J. Deen, M.W. Shinwari, Calcu-
lation of the response of field-effect transistors to charged biological molecules,
IEEE Sens. J. 7 (9–10) (2007) 1233–1242.
[26] W.R. McKinnon, D. Landheer, Sensitivity of a field-effect transistor in detecting
DNA hybridization, calculated from the cylindrical Poisson–Boltzmann equa-
tion, J. Appl. Phys. 10 0 (5) (2006).
[27] A. Poghossian, A. Cherstvy, S. Ingebrandt, A. Offenhäusser, M.J. Schöning, Pos-
sibilities and limitations of label-free detection of DNA hybridization with
field-effect-based devices, Sens. Actuators B: Chem. 111 (2005) 470–480.
[28] C. Consolandi, B. Castiglioni, R. Bordoni, E. Busti, C. Battaglia, L.R. Bernardi, G. De
Bellis, Two efficient polymeric chemical platforms for oligonucleotide microar-
ray preparation, Nucleos. Nucleot. Nucleic Acids 21 (8–9) (2002) 561–580.
[29] J. Piehler, A. Brecht, R. Valiokas, B. Liedberg, G. Gauglitz, A high-density
poly(ethylene glycol) polymer brush for immobilization on glass-type surfaces,
Biosens. Bioelectron. 15 (9–10) (2000) 473–481.
[30] Y. Han, D. Mayer, A. Offenhäusser, S. Ingebrandt, Surface activation of thin sili-
con oxides by wet cleaning and silanization, Thin Solid Films 510 (1–2) (2006)
175–180.
[31] Y. Han, A. Offenhäusser, S. Ingebrandt, Detection of DNA hybridization by a field-
effect transistor with covalently attached catcher molecules, Surf. Interf. Anal.
38 (4) (2006) 176–181.

[32] R. GhoshMoulick, X.T. Vu, S. Gilles, D. Mayer, A. Offenhäusser, S. Ingebrandt,
Impedimetric detection of covalently attached biomolecules on field-effect
transistors. Phys. Status Solidi A: Appl. Mater., in press.
[33] S. Ingebrandt, A. Offenhäusser, Label-free detection of DNA using field-effect
transistors, Phys. Status Solidi A: Appl. Mater. 203 (14) (2006) 3399–3411.
Biographies
Xuan Thang Vu was born in Thaibinh, Vietnam, in 1979. He graduated from the
College of Science, Vietnam National University, Hanoi, in 2001 with a B.Sc. degree
in Materials Science. In 2003 he received a M.Sc. degree in Materials Science at the
International Training Institute for Materials Science (ITIMS), Hanoi University of
Technology (HUT), Vietnam. From 2003 to 2006 he was working as research assistant
at ITIMS. Since 2006 he is working as Ph.D. student at the RWTH-Aachen Univer-
sity, Aachen, Germany and at the Institute of Bio- and Nanosystems (IBN), Institute
2: Bioelectronics, at the Forschungszentrum Jülich, Germany. His current research
interests are SiNW transistor array design and fabrication for biosensor applications
and for electronic detection of biomolecules.
Ranjita Ghosh Moulick was born in India, near Kolkata, in 1976. She studied Chem-
istry and Biochemistry for her Bachelor and Master Degree, respectively. In 2007 she
received her Ph.D. in Biochemistry from the Calcutta University on the topic ‘Fold-
ing and aggregation pattern of glycosylated hemoglobin’. Currently she is working
as a postdoctoral fellow in the Institute of Bio- and Nanosystems (IBN), Institute
2: Bioelectronics, at the Forschungszentrum Jülich, Germany. Her current research
topics are covalent immobilization of biomolecules on oxidic sensor surfaces and
electronic detection of biomolecules with field-effect devices.
Jan Felix Eschermann was born in Friedrichshafen, Germany, in 1980. He graduated
in electrical engineering at the Technical University Munich, Germany in 2006. Dur-
ing his master thesis he was working as a visiting scholar at the Beckman Institute in
Urbana-Champaign, IL, USA. Since 2006 he is working as Ph.D. student at the RWTH-
Aachen University, Aachen, Germany and at the Institute of Bio- and Nanosystems
(IBN), Institute 2: Bioelectronics, at the Forschungszentrum Jülich, Germany. His cur-

rent research interests are SiNW transistor array design, fabrication and simulation
for bioelectronic applications and extracellular recording from electrogenic cells.
Regina Stockmann was born in Aachen, Germany, in 1969. She graduated in Applied
Chemistry at the Aachen University of Applied Sciences in 1996. After years of
experience in clean room processing she joined in 2002 the Institute of Bio- and
Nanosystems (IBN), Institute 2: Bioelectronics, at the Forschungszentrum Jülich,
Germany. From then on her main focus was on optimizing semiconductor chips
to achieve better sensors for bioelectronic measurements. Her current interests are
silicon nanowire design, fabrication and optimization for biosensor applications.
Andreas Offenhäusser was born in Heidenheim, Germany in 1959. He graduated
in physics (Diplom) from the University of Ulm in 1985 and completed a Ph.D. at
the University of Ulm in 1989. From 1990 to 1992 he worked as an engineer at
Robert Bosch GmbH, Reutlingen. From 1992 to 1994 he joined the Frontier Research
Program, RIKEN, Japan. From 1994 to 2001 he worked at the Max Planck Institute for
Polymer Research, Mainz, as a group leader. In 2000 he received his “habilitation”. He
moved to the Forschungszentrum Jülich in 2001 where he is presently director of the
Institute of Bio- and Nanosystems (IBN), Institute 2: Bioelectronics. He is a professor
for experimental physics at the RWTH-Aachen University, Germany. The focus of his
work is the functional coupling of sensory cells and neurons with microelectronic
devices, signal processing in biological neuronal networks, electronic DNA-Chip, and
biophysics of lipid bilayers and membrane receptors.
Sven Ingebrandt was born in Alzey, Germany, in 1971. He graduated in physics
(Diplom) in 1998 at the Johannes Gutenberg University Mainz, Germany. From 1998
to 2001 he was working as Ph.D. student at the Max Planck Institute for Polymer
Research in Mainz, Germany. He received his Ph.D. degree in physical chemistry in
2001 from the Johannes Gutenberg University Mainz, Germany. In 2001 and 2002
he was working as postdoctoral researcher at the Frontier Research Program, RIKEN,
Japan. From 2002 to 2008 he was working as group leader in the Institute of Bio-
and Nanosystems (IBN), Institute 2: Bioelectronics, at the Forschungszentrum Jülich,
Germany. Recently he moved to the Kaiserslautern University of Applied Sciences as

a professor of Biomedical Engineering. Currently he is still leading a research group
in Jülich elaborating topics such as cell–sensor coupling, whole-cell biosensors and
electronic field-effect based sensors for biomolecular detection. His main interests
are micro- and nanochip design and fabrication for bioelectronic applications and
bioelectronic signal recording and interpretation.

×