Tải bản đầy đủ (.pdf) (94 trang)

BÁO cáo TỔNG hợp kết QUẢ THÍ NGHIỆM môn kỹ THUẬT số LAB 1 các CỔNG LOGIC và IC CHỨC NĂNG cơ bản

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (21.73 MB, 94 trang )

ĐẠI HỌC QUỐC GIA THÀNH PHỐ HỒ CHÍ MINH
TRƯỜNG ĐẠI HỌC BÁCH KHOA
KHOA: ĐIỆN – ĐIỆN TỬ

BÁO CÁO TỔNG HỢP KẾT QUẢ THÍ NGHIỆM MƠN
KỸ THUẬT SỐ
LỚP L15 – NHĨM 6
Họ và tên

MSSV

1. Nguyễn Duy Khánh

1911368

2. Lê Đặng Đăng Khoa

2113752

3. Nguyễn Bá Lộc

2113961

GVHD: Th.S Trịnh Vũ Đăng Nguyên

Tieu luan


LAB 1: CÁC CỔNG LOGIC VÀ IC

CHỨC NĂNG CƠ BẢN


Họ và tên: Nhóm 6

Lớp TN: L15

MSSV: 1911368

Ngày:

A. HƯỚNG DẪN THÍ NGHIỆM:
I.

MỤC TIÊU

-

Nắm được cách sử dụng kit thí nghiệm, phần mềm lập trình.

-

Nắm được cách khảo sát và thiết kế hàm boolean sử dụng các cổng logic.

-

Nắm được quy trình mơ tả phần cứng trên FPGA.
CHUẨN BỊ

II.

Tự hồn thành bài prelab1 trước khi tham gia buổi học thí nghiệm đầu tiên. Khơng hồn
thành bài prelab1 sẽ khơng được tham gia buổi thí nghiệm, mọi hình thức sao chép

đều sẽ bị xử lý nặng.

III.

HƯỚNG DẪN THÍ NGHIỆM
THÍ NGHIỆM 1

Mục tiêu: Nắm được cách khảo sát hàm boolean sử dụng các cổng logic.

Yêu cầu: Sinh viên thực hiện khảo sát hoạt động của hàm 𝑓(𝑥, 𝑦, 𝑧) = 𝑥̅. 𝑦 + 𝑦̅𝑧 và
điền các kết quả khảo sát vào Bảng 1.1 theo hướng dẫn ở mục Kiểm tra.

x

y

z

0

0

0

0

0

1


f

fTest

fnand

0

0

0

1

1

1
1

Tieu luan


x

y

z

0


0

0

0

1

0

f

fTest

fnand

0

0

0

0

1

1

1


1

1

1

1

1

1

0

0

0

0

0

1

0

1

1


1

1

1

1

0

0

0

0

1

1

1

0

0

0

Bảng 1.1 Kết quả khảo sát hoạt động của hàm boolean


2

Tieu luan


Kiểm tra:
⮚ Sinh viên tiến hành thay các giá trị của x, y, z vào hàm 𝑓(𝑥, 𝑦, 𝑧) = 𝑥̅. 𝑦 + 𝑦̅𝑧
đã cho, điền kết quả vào cột f của Bảng 1.1.
⮚ Sinh viên tiến hành vẽ sơ đồ nguyên lý của mạch cần thiết kế. Ghi rõ chân của IC.


⮚ Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết quả vào cột fTest


của Bảng 1.1.
(Chèn hình chụp minh chứng sinh viên đã lắp xong mạch hoặc xác nhận của GVHD)

⮚ Thực hiện hàm boolean trên chỉ sử dụng cổng NAND 2 ngõ vào, cần sử dụng
bao nhiêu cổng NAND? Tại sao?

3

Tieu luan


⮚ Sinh viên tiến hành vẽ sơ đồ nguyên lý của mạch cần thiết kế (toàn NAND).

⮚ Sinh viên tiến hành lắp lại mạch và thực hiện với hàm chỉ sử dụng cổng
NAND. Kết quả kiểm tra điền vào cột fnand của Bảng 1.1.
(Chèn hình chụp minh chứng sinh viên đã lắp xong mạch hoặc xác nhận của GVHD)


4

Tieu luan


THÍ NGHIỆM 2
Mục tiêu: Nắm được cách khảo sát hàm boolean sử dụng các cổng logic.
Yêu cầu: Sinh viên thực hiện khảo sát hoạt động của hàm được cho bởi Bảng 1.2 và
điền các kết quả khảo sát vào Bảng 1.2 theo hướng dẫn ở mục Kiểm tra.
fTest fnor

x

y

z

f

0

0

0

0

0


0

0

0

1

1

1

1

0

1

0

0

0

0

0

1


1

1

1

1

1

0

0

0

0

0

1

0

1

0

0


0

1

1

0

1

1

1

1

1

1

0

0

0

Bảng 1.2: Kết quả khảo sát hoạt động của hàm boolean

Kiểm tra:
⮚ Viết biểu thức ngõ f theo các ngõ vào x, y, z:

⮚ Sinh viên tiến hành vẽ sơ đồ nguyên lý của mạch cần thiết kế. Ghi rõ chân của IC.

5

Tieu luan


⮚ Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết quả vào
cột fTest của Bảng 1.2. (Chèn hình chụp minh chứng sinh viên đã lắp xong mạch
hoặc xác nhận của GVHD)

⮚ Thực hiện hàm boolean trên chỉ sử dụng cổng NOR 2 ngõ vào, cần sử dụng bao
nhiêu cổng NOR? Tại sao?

⮚ Sinh viên tiến hành vẽ sơ đồ nguyên lý của mạch cần thiết kế (toàn NOR).

6

Tieu luan


⮚ Sinh viên tiến hành lắp lại mạch và thực hiện với hàm chỉ sử dụng cổng NOR.
Kết quả kiểm tra điền vào cột fnor của Bảng 1.2.
(Chèn hình chụp minh chứng sinh viên đã lắp xong mạch hoặc xác nhận của GVHD)

THÍ NGHIỆM 3
Mục tiêu: Nắm được cách khảo sát hàm boolean sử dụng các cổng logic.
Yêu cầu: Sinh viên thực hiện khảo sát hoạt động của hàm được cho bởi Hình 3 và điền
các kết quả khảo sát vào Bảng 3 theo hướng dẫn ở mục Kiểm tra.


x y

z

F1

F2

0 0 0

0

0

0 0 1

0

0

0 1 0

0

0

0 1 1

1


1

1 0 0

1

1

1 0 1

0

1

1 1 0

0

0

1 1 1

1

1

Bảng 1.3: Kết quả khảo sát

7


Tieu luan


Kiểm tra:
⮚ Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết quả vào cột F1
của Bảng 1.3.
⮚ Sinh viên tiến hành vẽ sơ đồ nguyên lý của mạch cần thiết kế. Ghi rõ chân của IC.

⮚ Chèn hình chụp minh chứng sinh viên đã lắp xong mạch hoặc xác nhận của GVHD:

⮚ Sinh viên tiến hành rút gọn hàm đã cho ở Hình 1.14 và vẽ lại mạch logic mô tả hàm
boolean đã cho. Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết
quả vào cột F2 ở Bảng 1.3.Rút gọn hàm:

8

Tieu luan


Sinh viên tiến hành vẽ sơ đồ nguyên lý của mạch cần thiết kế. Ghi rõ các IC cần sử
dụng.

Chèn hình chụp minh chứng sinh viên đã lắp xong mạch hoặc xác nhận của GVHD:

⮚ Từ kết quả thí nghiệm, sinh viên rút ra nhận xét.

9

Tieu luan



LAB 2: THỰC HIỆN CÁC IC CHỨC
NĂNG CƠ BẢN TRÊN FPGA
Họ và tên: Nhóm 6

Lớp TN: L15

MSSV: 1911368

Ngày:

B. HƯỚNG DẪN THÍ NGHIỆM:
I.

MỤC TIÊU
- Nắm được cách sử dụng kit DE-2, FPGA Cyclone II
-

Nắm được các kiến thức từ bài thí nghiệm 1 – các IC chức năng cơ bản - Nắm
được quy trình mơ tả phần cứng trên FPGA.

II.

CHUẨN BỊ
- Để chuẩn bị tốt cho bài thí nghiệm, sinh viên PHẢI đọc trước phần Phụ lục 1 và
hoàn thành các bước của Sample lab trong Phụ lục 2, nộp kèm với Prelab 2 trước
khi vào lớp.
-

Tự hoàn thành bài prelab2 trước khi tham gia buổi học thí nghiệm. Khơng hồn

thành bài prelab2 sẽ khơng được tham gia buổi thí nghiệm - Mọi hình thức sao chép
đều sẽ bị xử lý nặng.

-

Tuân thủ sơ đồ gán chân để dễ kiễm tra kết quả

III. HƯỚNG DẪN THÍ NGHIỆM
THÍ NGHIỆM 1
Mục tiêu: Thực hiện khảo sát hoạt động của hàm Boolean trên Kit DE 2

Tieu luan

10


x
0
0
0
0
1
1
1
1

y
0
0
1

1
0
0
1
1

z
0
1
0
1
0
1
0
1

f

fSim fKit

Bảng 2.1: Kết quả khảo sát hoạt động của hàm boolean.

Yêu cầu: Sinh viên thực hiện khảo sát hoạt động của hàm 𝑓(𝑥,𝑦, 𝑧) = 𝑥̅𝑦𝑧
+ 𝑥𝑦̅̅𝑧 + 𝑥𝑦 và điền các kết quả khảo sát vào Bảng 2.1 theo hướng dẫn ở mục Kiểm
tra.
Kiểm tra:
➢ Sinh viên tiến hành thay các giá trị của x, y, z vào hàm 𝑓(𝑥,𝑦, 𝑧) = 𝑥̅𝑦𝑧 + 𝑥𝑦̅̅𝑧 + 𝑥𝑦
đã cho, điền kết quả vào cột f của Bảng 2.1.
➢ Sinh viên tiến hành vẽ sơ đồ cổng logic của mạch cần thiết kế


Tieu luan

11


➢ Sinh viên viết đoạn mã SystemVerilog thực hiện hoạt động của hàm 𝑓(𝑥, 𝑦, 𝑧) =
𝑥̅𝑦𝑧 + 𝑥𝑦̅̅𝑧 + 𝑥𝑦 như hướng dẫn từ Prelab với sơ đồ gán chân như sau:
o Chân x, y, z gán tới SW2, SW1, SW0 và LEDR2, LEDR1, LEDR0 tương ứng
o Chân f gán tới LEDG0

Tieu luan

12


Tieu luan

13


Sinh viên tiến hành tổng hợp thiết kế System Verilog và khảo sát hoạt động của hàm
trên mô phỏng, ghi kết quả vào cột fsim của Bảng 2.1.
(Chèn hình chụp minh chứng sinh viên đã mô phỏng mạch)

➢ Sinh viên xem kết quả Netlist > RTL Viewer của mạch. Kết quả này có giống
với sơ đồ cổng logic sinh viên đã vẽ khơng, tại sao?
(Chèn hình chụp Netlist > RTL Viewer)

14


Tieu luan


Sinh viên thực hiện nạp đoạn mã lên Kit DE2, thiết kế có thực hiện
chính xác như u cầu hay không? Ghi kết quả vào cột fKit của Bảng 2.1.
(Chèn hình chụp minh chứng sinh viên đã nạp lên Kit hoạt động) THÍ

NGHIỆM 2

15

Tieu luan


Mục tiêu: Nắm được cách khảo sát hàm boolean sử dụng kit DE2
x

y

z

f

0

0

0

1


0

0

1

1

0

1

0

0

0

1

1

1

1

0

0


1

1

0

1

0

1

1

0

0

1

1

1

1

fSim fKit

Bảng 2.2: Khảo sát hoạt động hàm Boolean Kiểm tra:


Yêu cầu: Sinh viên thực hiện khảo sát hoạt động của hàm được cho
bởi Bảng 2.2 và điền các kết quả khảo sát vào Bảng 2.2 theo hướng dẫn ở mục
Kiểm tra.

Viết biểu thức ngõ f theo các ngõ vào x, y, z.

➢ Sinh viên tiến hành vẽ sơ đồ cổng logic của mạch cần thiết kế.

16

Tieu luan


17

Tieu luan


➢ Sinh viên viết đoạn mã SystemVerilog thực hiện hoạt động của hàm 𝑓(𝑥, 𝑦, 𝑧)
như hướng dẫn từ Prelab với sơ đồ gán chân như sau:
o Chân x, y, z gán tới SW2, SW1, SW0 và LEDR2, LEDR1, LEDR0 tương
ứng o Chân f gán tới LEDG0

18

Tieu luan


Sinh viên tiến hành tổng hợp thiết kế System Verilog và khảo sát

hoạt động của hàm trên mô phỏng, ghi kết quả vào cột fsim của Bảng 2.2.
(Chèn hình chụp minh chứng sinh viên đã mô phỏng mạch)

➢ Sinh viên xem kết quả Netlist > RTL Viewer của mạch. Kết quả này có giống
với sơ đồ cổng logic sinh viên đã vẽ khơng, tại sao?
(Chèn hình chụp Netlist > RTL Viewer)

19

Tieu luan


➢ Sinh viên thực hiện nạp đoạn mã lên Kit DE2, thiết kế có thực hiện chính xác
như u cầu hay không? Ghi kết quả vào cột fKit của Bảng 2.2.
(Chèn hình chụp minh chứng sinh viên đã nạp lên Kit hoạt động)

20

Tieu luan


THÍ NGHIỆM 3
Mục tiêu: Nắm được cách khảo sát hàm boolean sử dụng kit DE2.
Yêu cầu: Sinh viên xem mạch được cho bởi Hình 2.4 và điền các kết quả
khảo sát vào Bảng 2.3 theo hướng dẫn ở mục Kiểm tra.
x
0
0
0
0

1
1
1
1

y
0
0
1
1
0
0
1
1

z fsim fKit
0 0
1
0
0 0
1 0
0
1
1
1
0 0
1 0
Bảng 2.3 Kết quả khảo sát
hoạt động của hàm Boolean
- thí nghiệm 3


Hình 2.4

Kiểm tra:
➢ Sinh viên viết đoạn mã SystemVerilog thực hiện hoạt động của hàm 𝐹 trên
Hình 2.4
như hướng dẫn từ Prelab với sơ đồ gán chân
như sau:
o Chân x, y, z gán tới SW2, SW1, SW0 và LEDR2, LEDR1, LEDR0 tương
ứng o Chân f gán tới LEDG0

21

Tieu luan


➢ Sinh viên xem kết quả Netlist > RTL Viewer của mạch. Kết quả này có giống
với sơ đồ cổng logic trên Hình 2.4 khơng, tại sao?
(Chèn hình chụp Netlist > RTL Viewer)

22

Tieu luan


➢ Sinh viên tiến hành tổng hợp thiết kế System Verilog và khảo sát hoạt động của
hàm trên mô phỏng, ghi kết quả vào cột fsim của Bảng 2.3.
(Chèn hình chụp minh chứng sinh viên đã mô phỏng mạch)

23


Tieu luan


➢ Sinh viên thực hiện nạp đoạn mã lên Kit DE2, thiết kế có thực hiện chính xác
như u cầu hay không? Ghi kết quả vào cột fKit của Bảng 2.3.
(Chèn hình chụp minh chứng sinh viên đã nạp lên Kit hoạt động)

THÍ NGHIỆM 4
Mục tiêu: Nắm được cách thức thiết kế các IC chức năng bằng ngôn ngữ
SystemVerilog và ứng dụng trên kit DE2
Yêu cầu: Sinh viên thực hiện thiết kế hàm boolean 𝑓(𝑥, 𝑦,𝑧) = ∑(1,2,4,7)
sử dụng IC chức năng 74LS151 và các cổng logic cần thiết trên ngôn ngữ
SystemVerilog. Kết quả khảo sát điền vào Bảng 2.4 theo hướng dẫn ở mục Kiểm tra.

24

Tieu luan


×