Tải bản đầy đủ (.pdf) (128 trang)

(Đồ án hcmute) thiết kế, mô phỏng bộ lọc nhiễu tín hiệu điện tim dùng matlab và chuyển mã vhdl

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (9.41 MB, 128 trang )

BỘ GIÁO DỤC VÀ ĐÀO TẠO
TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT
THÀNH PHỐ HỒ CHÍ MINH

ĐỒ ÁN TỐT NGHIỆP
NGÀNH KỸ THUẬT ĐIỆN TỬ TRUYỀN THÔNG

THIẾT KẾ, MÔ PHỎNG BỘ LỌC NHIỄU TÍN HIỆU ĐIỆN TIM
DÙNG MATLAB VÀ CHUYỂN MÃ VHDL

GVHD: NGUYỄN THANH NGHĨA
SVTH: TRẦN THANH LÂM
MSSV: 14141160

SKL 0 0 5 6 5 8

Tp. Hồ Chí Minh, tháng 01/2019

do an


BỘ GIÁO DỤC & ĐÀO TẠO
TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT TP. HỒ CHÍ MINH
KHOA ĐIỆN – ĐIỆN TỬ
BỘ MÔN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH
---------------------------------

ĐỒ ÁN TỐT NGHIỆP
NGÀNH CÔNG NGHỆ KỸ THUẬT ĐIỆN TỬ TRUYỀN THÔNG

ĐỀ TÀI:



THIẾT KẾ, MƠ PHỎNG BỘ LỌC
NHIỄU TÍN HIỆU ĐIỆN TIM
DÙNG MATLAB VÀ CHUYỂN MÃ VHDL

GVHD: ThS. Nguyễn Thanh Nghĩa
SVTH: Trần Thanh Lâm
MSSV: 14141160

Tp. Hồ Chí Minh – 01/2019

do an


TRƯỜNG ĐH SPKT TP. HỒ CHÍ MINH
CỘNG HỊA XÃ HỘI CHỦ NGHĨA VIỆT NAM
KHOA ĐIỆN-ĐIỆN TỬ
ĐỘC LẬP - TỰ DO - HẠNH PHÚC
BỘ MÔN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH
----o0o---Tp. HCM, ngày 03 tháng 10 năm 2018

NHIỆM VỤ ĐỒ ÁN TỐT NGHIỆP
Họ tên sinh viên: Trần Thanh Lâm
Trần Phan Ái Mỹ
Chuyên ngành: Điện tử công nghiệp
Hệ đào tạo:
Đại học chính quy
Khóa:
2014
I. TÊN ĐỀ TÀI:


MSSV: 14141160
MSSV: 14141200
Mã ngành: 14941
Mã hệ:
K14941
Lớp:
14941DT

THIẾT KẾ, MƠ PHỎNG BỘ LỌC NHIỄU TÍN HIỆU
ĐIỆN TIM DÙNG MATLAB VÀ CHUYỂN MÃ VHDL

II. NHIỆM VỤ
1. Các số liệu ban đầu:
-

Tín hiệu điện tim ECG được thu thập trên Matlab

-

Sử dụng bợ xử lý chính là kit FPGA Altera – DE2-115.

2. Nội dung thực hiện:
-

Tìm hiểu về các bộ lọc thông thấp, lọc thông cao, lọc thông dải.

-

Lựa chọn phần cứng, nghiên cứu, phân tích ngun tắc hoạt đợng của từng khối

để xây dựng mơ hình hồn chỉnh cho hệ thống.

-

Thiết kế và mơ phỏng bợ lọc tín hiệu điện tim trên Matlab và chuyển mã VHDL.

III. NGÀY GIAO NHIỆM VỤ:

03/10/2018

IV. NGÀY HOÀN THÀNH NHIỆM VỤ: 10/01/2019
V. HỌ VÀ TÊN CÁN BỘ HƯỚNG DẪN: ThS. Nguyễn Thanh Nghĩa
CÁN BỘ HƯỚNG DẪN

BM. ĐIỆN TỬ CÔNG NGHIỆP – Y SINH

Trang i

do an


TRƯỜNG ĐH SPKT TP. HỒ CHÍ MINH
CỘNG HỊA XÃ HỘI CHỦ NGHĨA VIỆT NAM
KHOA ĐIỆN - ĐIỆN TỬ
ĐỘC LẬP - TỰ DO - HẠNH PHÚC
BỘ MÔN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH
----o0o---Tp. HCM, ngày 05 tháng 10 năm 2018

LỊCH TRÌNH THỰC HIỆN ĐỒ ÁN TỐT NGHIỆP
Họ tên sinh viên 1: Trần Thanh Lâm

Lớp:
14941DT
MSSV: 14141160
Họ tên sinh viên 2: Trần Phan Ái Mỹ
Lớp:
14941DT
MSSV: 14141200
Tên đề tài:
THIẾT KẾ, MÔ PHỎNG BỘ LỌC NHIỄU TÍN HIỆU
ĐIỆN TIM DÙNG MATLAB VÀ CHUYỂN MÃ VHDL
Tuần/ngày

Nội dung

Xác nhận GVHD

Tìm ý tưởng cho đề tài, xây dựng đề
Tuần 1
cương, sắp xếp lịch trình thực hiện đồ
03/10/2018 – 08/10/2018 án.
Tuần 2, 3, 4
Phân tích yêu cầu hệ thống, tìm hiểu
09/10/2018– 29/10/2018 cơ sở lý thuyết về tín hiệu điện tim, lý
thuyết về các bộ lọc
Tuần 5, 6, 7
Tìm hiểu lý thuyết về kit FPGA
30/10/2018 – 19/11/2018 De2_115
Tuần 8
20/11/2018– 26/11/2018


Xây dựng và phân tích sơ đờ khối của
hệ thống.

Tuần 9, 10
Tiến hành lập trình, thiết kế các bộ lọc
27/11/2018 – 10/12/2018 trên FDATool của Matlab
Tuần 11, 12
Tiến hành mô phỏng, chạy thử hoạt
11/12/2018 – 24/12/2018 động của bộ lọc và chỉnh sửa các lỗi.
Tuần 14, 15
25/12/2018 – 10/01/2018 Viết và hoàn thiện báo cáo
GV HƯỚNG DẪN
(Ký và ghi rõ họ và tên)

Trang ii

do an


LỜI CAM ĐOAN
Đề tài này do nhóm chúng em thực hiện dựa vào một số tài liệu và công trình
nghiên cứu trước đó và khơng sao chép từ tài liệu hay cơng trình đã có trước đó.
Người thực hiện đề tài
Trần Thanh Lâm

Trần Phan Ái Mỹ

Trang iii

do an



LỜI CẢM ƠN
Lời đầu tiên, nhóm em xin gửi lời cảm ơn chân thành và sâu sắc nhất đến Thầy
Nguyễn Thanh Nghĩa. Thầy đã tận tình hướng dẫn, góp ý định hướng, tạo mọi điều kiện
cho nhóm em trong suốt quá trình thực hiện đề tài tốt nghiệp.
Nhóm em xin chân thành cảm ơn đến tất cả các thầy cô Khoa Điện – Điện tử,
Trường Đại Học Sư Phạm Kỹ Thuật Tp HCM, những kiến thức và kinh nghiệm quý báu
mà chúng em nhận được từ thầy cô trong suốt quá trình theo học sẽ là hành trang tốt
nhất giúp chúng em vững bước trong sự nghiệp của mình.
Nhóm em xin chân thành cảm ơn Ban Giám Hiệu Trường Đại Học Sư Phạm Kỹ
Thuật Tp HCM đã tạo điều kiện cho chúng em làm đồ án này.
Cuối cùng, chúng em xin gửi những lời tri ân đến gia đình, bạn bè, những người
thân yêu nhất luôn quan tâm và tạo điều kiện tốt nhất cho chúng em trong suốt quá trình
học tập.

Trang iv

do an


MỤC LỤC
NHIỆM VỤ ĐỒ ÁN TỐT NGHIỆP ........................................................................... i
LỊCH TRÌNH THỰC HIỆN ĐỒ ÁN TỐT NGHIỆP ................................................. ii
LỜI CAM ĐOAN ...................................................................................................... iii
LỜI CẢM ƠN ............................................................................................................ iv
MỤC LỤC .................................................................................................................. v
LIỆT KÊ HÌNH ......................................................................................................... ix
LIỆT KÊ BẢNG ........................................................................................................ xi
DANH MỤC CÁC TỪ VIẾT TẮT .......................................................................... xii

CHƯƠNG 1: TỔNG QUAN ...................................................................................... 1
1.1 ĐẶT VẤN ĐỀ .................................................................................................. 1
1.2 MỤC TIÊU ....................................................................................................... 2
1.3 NỘI DUNG NGHIÊN CỨU ............................................................................. 2
1.4 GIỚI HẠN ........................................................................................................ 2
1.5 BỐ CỤC ............................................................................................................ 2
CHƯƠNG 2: CƠ SỞ LÝ THUYẾT ........................................................................... 4
2.1 TỔNG QUAN VỀ TÍN HIỆU ĐIỆN TIM ECG .............................................. 4
2.1.1 Khái niệm về tín hiệu điện tim ECG .......................................................... 4
2.1.2 Cấu trúc giải phẫu và chức năng của tim ................................................... 4
2.1.3 Nhịp tim ..................................................................................................... 6
2.1.4 Các quá trình điện học của tim................................................................... 6
2.1.5 Quá trình hình thành tín hiệu điện tim ....................................................... 6
2.1.5.1 Nhĩ đồ ................................................................................................. 6
2.1.5.2 Thất đồ ................................................................................................ 7
2.1.6 Sự hình thành các dạng sóng của tim ......................................................... 9
2.1.6.1 Tính dẫn truyền................................................................................... 9
2.1.6.2 Tính trơ và các thời kì trơ ................................................................... 9
2.1.6.3 Điện trường của tim .......................................................................... 10
2.1.7 Các thành phần của tín hiệu điện tim ECG .............................................. 10
2.1.8 Các dải tần trong tín hiệu điện tim ECG .................................................. 13
Trang v

do an


2.1.9 Các phương pháp đo tín hiệu ECG .......................................................... 14
2.1.9.1 Phương pháp Oscillometric .............................................................. 14
2.1.9.2 Phương pháp điện tim đồ.................................................................. 14
2.1.9.3 Phương pháp hấp thụ quang học ...................................................... 15

2.1.10 Các loại nhiễu tác đợng đến tín hiệu điện tim ........................................ 15
2.2 LÝ THUYẾT VỀ TÍN HIỆU SỐ VÀ BỘ LỌC SỐ ....................................... 17
2.2.1 Tổng quan về tín hiệu số .......................................................................... 17
2.2.2 Hệ xử lý số ............................................................................................... 19
2.2.2.1 Mô tả hệ xử lý số .............................................................................. 19
2.2.2.2 Hệ xử lý số đệ quy và không đệ quy ................................................ 22
2.2.3 Tổng quan về bộ lọc số ............................................................................ 22
2.3.3.1 Bộ lọc thông thấp LPF ...................................................................... 23
2.3.3.2 Bộ lọc thông cao HPF....................................................................... 25
2.3.3.3 Bộ lọc thơng dải BPF ....................................................................... 25
2.3 TỔNG QUAN VỀ CƠNG CỤ MATLAB ...................................................... 25
2.3.1 Giới thiệu chung ....................................................................................... 25
2.3.2 Lập trình trong matlab.............................................................................. 26
2.3.2.1 M-File ............................................................................................... 26
2.3.2.2 Một số câu lệnh cơ bản ..................................................................... 30
2.3.3 Trình mô phỏng Simulink ........................................................................ 34
2.3.4 Công cụ thiết kế bộ lọc số FDATool của Matlab .................................... 38
2.3.4.1 Giới thiệu phương pháp thiết kế theo mô hình ................................. 38
2.3.4.2 Tổng quan về hộp công cụ thiết kế bộ lọc số (FDATool) ................ 39
2.3.4.3 Thiết kế bộ lọc sử dụng giao diện FDATool .................................... 41
2.4 TỔNG QUAN VỀ FPGA (ALTERA) VÀ PHẦN MỀM QUARTUS II ....... 45
2.4.1 Lịch sử ra đời và phát triển của FPGA .................................................... 45
2.4.2 Khái niệm FPGA ...................................................................................... 46
2.4.3 Ứng dụng FPGA ...................................................................................... 48
2.4.4 Ý nghĩa FPGA .......................................................................................... 48
2.4.5 Phần mềm hỗ trợ thiết kế Quatus II ......................................................... 49
2.5 TỔNG QUAN VỀ KIT DE2 -115 ALTERA ................................................. 51
Trang vi

do an



2.5.1 Giới thiệu.................................................................................................. 51
2.5.2 Kit DE2 Cyclone IV EP4CE115F29C7N ................................................ 52
2.5.3 Cấp nguồn cho kit DE2 ............................................................................ 55
2.6 GIỚI THIỆU NGÔN NGỮ VHDL ................................................................ 55
2.6.1 Giới thiệu.................................................................................................. 55
2.6.2 Cấu trúc một mô hình hệ thống mô tả bằng VHDL ................................. 57
2.6.3 Cú pháp và ngữ nghĩa .............................................................................. 59
2.6.3.1 Đối tượng trong VHDL .................................................................... 59
2.6.3.2 Kiểu dữ liệu trong VHDL................................................................. 61
CHƯƠNG 3: THIẾT KẾ VÀ THI CÔNG ............................................................... 62
3.1 GIỚI THIỆU ................................................................................................... 62
3.2 TÍNH TỐN VÀ THIẾT KẾ HỆ THỐNG .................................................... 62
3.2.1 Thiết kế sơ đồ khối hệ thống .................................................................... 62
3.2.2 Tính tốn và thiết kế bợ lọc dạng FIR ...................................................... 62
3.2.2.1 Kết cấu cho các kiểu lọc tần số dạng FIR: ....................................... 62
3.2.2.2 Cấu hình tổng quát của bộ lọc FIR ................................................... 68
3.2.3 Thiết kế bộ lọc số dạng FIR theo phương pháp MBD ............................. 69
3.2.3.1 Xây dựng sơ đồ khối ........................................................................ 69
3.2.3.2 Thiết kế, mô phỏng và chuyển mã VHDL ....................................... 70
3.3 THI CÔNG HỆ THỐNG ................................................................................ 80
3.3.1 Biên dịch chương trình trên Quartus II .................................................... 80
3.3.2 Sơ đồ khối trên Quartus ........................................................................... 83
3.3.3 Mô phỏng bộ lọc dùng ModelSim ........................................................... 84
3.3.3.1 Tổng quát về phần mềm mô phỏng ModelSim ................................ 84
3.3.3.2 Mô phỏng mạch lọc trên ModelSim ................................................. 85
3.3.4 Thử nghiệm và kiểm tra ........................................................................... 86
CHƯƠNG 4: KẾT QUẢ - NHẬN XÉT - ĐÁNH GIÁ ........................................... 87
4.1 KẾT QUẢ ....................................................................................................... 87

4.1.1 Kết quả mô phỏng bộ lọc trên Matlab ..................................................... 87
4.1.2 Kết quả dạng sóng mơ phỏng trên ModelSim ......................................... 99
4.2 NHẬN XÉT – ĐÁNH GIÁ ............................................................................ 99
Trang vii

do an


CHƯƠNG 5: KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN ....................................... 101
5.1 KẾT LUẬN ................................................................................................... 101
5.2 HƯỚNG PHÁT TRIỂN................................................................................ 101
TÀI LIỆU THAM KHẢO ...................................................................................... 102
PHỤ LỤC ............................................................................................................... 103

Trang viii

do an


LIỆT KÊ HÌNH
Hình 2.1 Cấu tạo tim người ............................................................................................. 4
Hình 2.2 Hệ thống dẫn truyền tim ...................................................................................5
Hình 2.3 Khử cực tâm nhĩ và sự hình thành sóng P ........................................................7
Hình 2.4 Khử cực vách liên thất và sự hình thành sóng Q ..............................................7
Hình 2.5 Dạng sóng tín hiệu điện tim............................................................................10
Hình 2.6 Máy đó huyết áp kỹ thuật số sử dụng Oscillometric ......................................14
Hình 2.7 Thu thập tín hiệu ECG từ các điện cực ..........................................................14
Hình 2.8 Dạng sóng của bệnh thiếu máu cục bợ cơ tim ................................................17
Hình 2.9 Sơ đờ khối của hệ xử lý số .............................................................................20
Hình 2.10 Sơ đồ khối của hệ xử lý số phức tạp ............................................................. 20

Hình 2.11 Ký hiệu phần tử cợng ...................................................................................21
Hình 2.12 Ký hiệu phần tử nhân ...................................................................................21
Hình 2.13 Ký hiệu phần tử nhân với hằng số ................................................................ 21
Hình 2.14 Ký hiệu phần tử trễ đơn vị ............................................................................22
Hình 2.15 Sơ đờ khối bợ lọc thơng thấp dạng chính tắc ...............................................24
Hình 2.16 Sơ đờ khối bợ lọc thơng thấp dạng chuyển vị ..............................................24
Hình 2.17 Giao diện trình mô phỏng Simulink ............................................................. 35
Hình 2.18 Khối Sine Wave và thông số cài đặt ............................................................. 36
Hình 2.19 Khối Scope và màn hình hiển thị .................................................................36
Hình 2.20 Khối Random Source và thông số cài đặt ....................................................37
Hình 2.21 Khối Sum và thông số cài đặt .......................................................................37
Hình 2.22 Khối Gain và thông số cài đặt ......................................................................38
Hình 2.23 Giao diện thiết kế của FDATool ..................................................................41
Hình 2.24 Thông số kỹ thuật bộ lọc thông thấp ............................................................ 43
Hình 2.25 Đáp tuyến biên đợ_ tần số pha của bợ lọc thơng thấp ..................................44
Hình 2.26 Chủn thiết kế trên FDATool sang mã VHDL...........................................45
Hình 2.27 Kiến trúc tổng quan của FPGA ....................................................................46
Hình 2.28 Cấu trúc SRAM FPGA (SRAM Logic Cell) ................................................47
Hình 2.29 Cấu trúc của OTP FPGA (OTP Logic Cell) .................................................47
Hình 2.30 Giao diện phần mềm Quatus II .....................................................................50
Hình 2.31 Kit DE2-115 Altera ......................................................................................52
Hình 2.32 Adapter 9V- 1.3A .........................................................................................55
Hình 3.1 Sơ đờ khối của hệ thống .................................................................................62
Hình 3.2 Đặc tính biên độ tần số của bộ lọc thông thấp lý tưởng .................................63
Hình 3.3 Đặc tính biên đợ tần số của bợ lọc thơng cao lý tưởng ..................................65
Hình 3.4 Đặc tính biên độ tần số của bộ lọc thông dải lý tưởng ...................................67
Hình 3.5 Cấu hình tổng qt của bợ lọc FIR đáp ứng xung hữu hạn ............................ 68
Trang ix

do an



Hình 3.6 Sơ đờ khối chức năng của hệ thống ................................................................ 69
Hình 3.7 Thơng số của bợ lọc thơng thấp dạng FIR......................................................70
Hình 3.8 Thơng số của bợ lọc thơng cao dạng FIR .......................................................71
Hình 3.9 Thơng số của bợ lọc thơng dải dạng FIR........................................................71
Hình 3.10 Chọn chế đợ lọc thơng thấp cho khối mơ phỏng ..........................................72
Hình 3.11 Khối lọc thơng thấp trong Simulink ............................................................. 72
Hình 3.12 Thiết lập mơ phỏng lọc nhiễu thơng thấp ECG ............................................73
Hình 3.13 Chọn chế đợ thơng cao cho khối mơ phỏng .................................................74
Hình 3.14 Khối lọc thơng cao trong Simulink .............................................................. 74
Hình 3.15 Thiết lập mơ phỏng lọc nhiễu thơng cao ECG .............................................75
Hình 3.16 Chọn chế đợ thơng dải cho khối mơ phỏng ..................................................76
Hình 3.17 Khối lọc thơng cao trong Simulink .............................................................. 76
Hình 3.18 Thiết lập mơ phỏng lọc nhiễu thơng dải ECG ..............................................77
Hình 3.19 Thiết lập mô phỏng lọc nhiễu ngẫu nhiên thông thấp ECG .........................78
Hình 3.20 Chủn mã VHDL theo sơ đờ khối .............................................................. 79
Hình 3.21 Cài đặt chuyển mã VHDL từ FDATool .......................................................80
Hình 3.22 Thao tác add file trong quartus .....................................................................81
Hình 3.23 Chạy kiểm tra lỗi Analysis & Synthesis .......................................................82
Hình 3.24 Biên dịch lỗi chương trình ............................................................................83
Hình 3.25 Giao diện vẽ sơ đồ khối ................................................................................83
Hình 3.26 Vẽ sơ đờ khối trong Block Diagram............................................................. 84
Hình 3.27 Giao diện phần mềm ModelSim version 6.5 ................................................85
Hình 3.28 Hộp thoại đặt tên project ModelSim ............................................................ 85
Hình 3.29 Hộp thoại add file cho project trong ModelSim ...........................................85
Hình 3.30 Biên dịch file mô phỏng thành công ............................................................ 86
Hình 3.31 Quá trình tải cấu hình xuống FPGA ............................................................. 86
Hình 4.1 Các dạng sóng của hệ thống bợ lọc thơng thấp trường hợp 1 ........................87
Hình 4.2 Các dạng sóng của hệ thống bợ lọc thơng thấp trường hợp 2 ........................88

Hình 4.3 Các dạng sóng của hệ thống bợ lọc thơng thấp trường hợp 3 ........................89
Hình 4.4 Các dạng sóng của hệ thống bợ lọc thơng thấp trường hợp 4 ........................89
Hình 4.5 Các dạng sóng của hệ thống bợ lọc thơng cao trường hợp 1..........................90
Hình 4.6 Các dạng sóng của hệ thống bợ lọc thơng cao trường hợp 3..........................91
Hình 4.7 Các dạng sóng của hệ thống bợ lọc thơng cao trường hợp 2..........................91
Hình 4.8 Các dạng sóng của hệ thống bợ lọc thơng cao trường hợp 4..........................92
Hình 4.9 Các dạng sóng của hệ thống dùng bợ lọc thơng dải .......................................93
Hình 4.10 Dạng sóng của hệ lọc nhiễu ngẫu nhiên dùng lọc thông thấp lần 1 .............94
Hình 4.11 Thiết lập mơ phỏng lọc nhiễu ngẫu nhiên thơng thấp ECG có khuếch đại ..94
Hình 4.12 Dạng sóng của hệ lọc nhiễu ngẫu nhiên dùng lọc thơng thấp lần 2 .............95
Hình 4.13 Dạng sóng của hệ lọc nhiễu ngẫu nhiên dùng lọc thông thấp lần 3 .............95
Trang x

do an


Hình 4.14 Thiết lập mơ phỏng lọc nhiễu ngẫu nhiên thơng cao ECG có khuếch đại ...96
Hình 4.15 Dạng sóng của hệ lọc nhiễu ngẫu nhiên dùng lọc thông thấp lần 1 .............96
Hình 4.16 Dạng sóng của hệ lọc nhiễu ngẫu nhiên dùng lọc thơng thấp lần 2 .............97
Hình 4.17 Thiết lập mô phỏng lọc nhiễu ngẫu nhiên thông dải ECG có khuếch đại ....97
Hình 4.18 Dạng sóng của hệ lọc nhiễu ngẫu nhiên dùng lọc thông dải lần 1 ...............98
Hình 4.19 Dạng sóng của hệ lọc nhiễu ngẫu nhiên dùng lọc thơng dải lần 2 ...............98
Hình 4.20 Dạng sóng mô phỏng trên ModelSim........................................................... 99

LIỆT KÊ BẢNG
Bảng 2.1 Cấu trúc của bộ lọc có đáp tuyến xung hữu hạn ...........................................40

Trang xi

do an



DANH MỤC CÁC TỪ VIẾT TẮT
FPGA

: Field – Program Gate Array

LPF

: Low Pass Filter

HPF

: High Pass Filter

BPF

: Band Pass Filter

PLD

: Programmable Logic Device

ASIC

: Application-specific Integrated Circuit

GPIO

: General Purpose Input Output


SPI

: Serial Peripheral Interface

PWM

: Pulse-width modulation

IFT

: Interfacial Tension

DSP

: Digital signal processing

Trang xii

do an


CHƯƠNG 1. TỔNG QUAN

CHƯƠNG 1: TỔNG QUAN
1.1 ĐẶT VẤN ĐỀ
Xử lý tín hiệu số (Digital Dignal Processing – DSP), hay tổng quát hơn là xử lý tín
hiệu rời rạc theo thời gian (Discrete-Time Signal Processing), là việc xử lý một tín hiệu
vào bất kỳ để thu được tín hiệu ra mong muốn, nhằm đạt mục đích nhất định. Xử lý tín
hiệu ngày càng đóng vai trị quan trọng trong nhiều ngành khoa học và kỹ thuật, là động

lực thúc đẩy sự tiến bộ của nhiều ngành kỹ thuật cao như: viễn thơng, đa phương tiện,
cũng như góp phần quan trọng trong các lĩnh vực khác như quân sự, y học, … Cùng với
sự bùng nổ của ngành công nghiệp điện tử hiện nay, cơng nghệ xử lý tín hiệu số DSP
cũng bùng nổ nhanh chóng và rất phát triển. Có thể nói, xử lý tín hiệu số là nền tảng cho
mọi lĩnh vực và chưa có sự biểu hiện bão hịa trong sự phát triển của nó, vậy nên, ngày
nay, có nhiều phần mềm (Matlab, Scilab, …) cũng như phần cứng (PC, Vi điều khiển,
Arduino, FPGA, …) được dùng để xử lý tín hiệu số [1].
Cơng nghệ FPGA (Field – Program Gate Array) là vi mạch dùng cấu trúc mảng
phần tử logic mà người dùng có thể lập trình được. FPGA chứa các logic cells thực hiện
các mạch logic được kết nối với nhau bởi ma trận kết nối và chuyển mạch lập trình được.
Thiết kế hay lập trình cho FPGA được thực hiện chủ yếu bằng các ngôn ngữ mô tả phần
cứng HDL, VHDL, VERILOG, … FPGA được xem như mợt loại vi mạch bán dẫn có
nhiều ưu điểm hơn hẳn các loại bán dẫn xuất hiện trước đó như có tính linh đợng đối
với người dùng, giúp phát triển các giải pháp tốt hơn mà không phụ thuộc vào phần cứng
của nhà sản xuất, ngồi ra, FPGA cịn có thể tái cấu trúc lại khi đang sử dụng: ngồi khả
năng tái cấu trúc vi mạch tồn cục, mợt số FPGA hiện đại còn hỗ trợ tái cấu trúc cục bộ,
tức khả năng tái cấu trúc một bộ phận riêng lẻ trong khi vẫn đảm bảo hoạt động bình
thường cho các bộ phận khác, công đoạn thiết kế đơn giản, do vậy chi phí giảm, rút ngắn
thời gian [1-2].
Trước đó, đã có mợt số đề tài nghiên cứu về Xử lý tín hiệu số dùng FPGA như:
“Thiết kế bợ lọc tín hiệu số trên cơng nghệ FPGA với cơng cụ Matlab và EDA của
XILINX” [3], “Thiết kế trên FPGA để loại ờn cho tín hiệu ECG nhờ biến đổi sóng con”
[4], “Thiết kế bợ lọc số trên dsPIC ứng dụng trong việc xử lý điện tâm đồ” [5]. Cụ thể,
đề tài [3] dùng Matlab để thiết kế bộ lọc tín hiệu số dạng FIR, dùng kit FPGA của hãng
BỘ MÔN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH

do an

Trang 1



CHƯƠNG 1. TỔNG QUAN

Xilinx và ngôn ngữ VHDL để xử lý tín hiệu số. Cơng trình [4] dùng phép biến đổi
wavelet rời rạc (Discrete Wavelet Transform – DWT) để xử lý tín hiệu ECG, theo thời
gian thực, trên nền FPGA hãng Xilinx. Bên cạnh đó, việc sử dụng Vi xử lý
dsPIC30F3012 để thiết kế bộ lọc thông thấp và lọc thơng chặn nhằm lọc nhiễu cho tín
hiệu ECG cũng đã được nghiên cứu trong đề tài [5].
Từ những cơ sở lý thuyết đã tìm hiểu và những công trình nghiên cứu trước đó, thêm
vào đó là nhu cầu về lọc nhiễu tín hiệu ECG, nhóm quyết định chọn đề tài: “THIẾT KẾ,
MƠ PHỎNG BỘ LỌC NHIỄU TÍN HIỆU ĐIỆN TIM DÙNG MATLAB VÀ CHUYỂN
MÃ VHDL”.

1.2 MỤC TIÊU
Xây dựng một bộ lọc số trên nền tảng FPGA để lọc nhiễu tín hiệu điện tim ECG
nhằm đem lại tín hiệu xác thực nhằm hỗ trợ tốt hơn cho việc khám chữa bệnh. Trong
đó, FPGA được xem như là phần cứng với chức năng thực thi bợ lọc nhiễu cho tín hiệu
điện tim, cịn Matlab được sử dụng như mợt cơng cụ để thiết kế và mô phỏng bộ lọc
nhằm đánh giá khả năng thực hiện trong thực tế.

1.3 NỘI DUNG NGHIÊN CỨU
• NỘI DUNG 1: Nghiên cứu tổng quan về FPGA, ngôn ngữ VHDL, tín hiệu ECG,
các bợ lọc thơng cao, thơng thấp, thơng dải.
• NỘI DUNG 2: Nghiên cứu về kit FPGA Altera – DE2-115.
• NỘI DUNG 3: Thiết kế bợ lọc và mơ phỏng trên Matlab.
• NỘI DUNG 4: Mơ phỏng và thực thi bộ lọc trên kit FPGA Altera – DE2-115.
• NỘI DUNG 5: Chạy thử nghiệm hệ thống.
• NỘI DUNG 6: Chỉnh sửa các lỗi lập trình và lỗi của các thiết bị.
• NỘI DUNG 7: Viết luận văn.
• NỘI DUNG 8: Báo cáo đề tài tốt nghiệp.


1.4 GIỚI HẠN
• Thiết kế bợ lọc thơng thấp, thơng cao và thơng dải cho tín hiệu ECG.
• Khoảng tần số bợ lọc dao đợng từ 50–120Hz.
• Nền tảng phần cứng thực thi bộ lọc dùng kit FPGA Altera – DE2-115.

1.5 BỐ CỤC
BỘ MÔN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH

do an

Trang 2


CHƯƠNG 1. TỔNG QUAN



Chương 1: Tổng Quan
Chương này trình bày đặt vấn đề dẫn nhập lý do chọn đề tài, mục tiêu, nội dung

nghiên cứu, các giới hạn thông số và bố cục đờ án.


Chương 2: Cơ Sở Lý Thuyết
Chương này giới thiệu các lý thuyết liên quan, các linh kiện, thiết bị, phần cứng

sử dụng thiết kế.



Chương 3: Thiết kế và thi cơng hệ thống
Chương này tính tốn thiết kế hệ thống, thiết kế sơ đồ khối, chức năng từng khối

và thực thi chương trình trên FPGA.


Chương 4: Kết Quả, Nhận Xét, Đánh Giá
Chương này nêu kết quả đã đạt được, nhận xét đánh giá hệ thống.



Chương 5: Kết Luận và Hướng Phát Triển
Chương này trình bày những gì đã đạt được và chưa đạt được so với mục tiêu

ban đầu, nêu hướng phát triển.

BỘ MÔN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH

do an

Trang 3


CHƯƠNG 2. CƠ SỞ LÝ THUYẾT

CHƯƠNG 2: CƠ SỞ LÝ THUYẾT
2.1 TỔNG QUAN VỀ TÍN HIỆU ĐIỆN TIM ECG
2.1.1 Khái niệm về tín hiệu điện tim ECG
Mợt trong các tín hiệu điện sinh học quan trọng và kinh điển nhất ứng dụng trong
việc chẩn đoán và điều trị bệnh là tín hiệu điện tim đờ (hay cịn gọi là điện tâm đồ, tiếng

Anh: Electrocardiogram hay thường gọi tắt là ECG hay EKG).
ECG là tín hiệu điện thu được từ các điện cực gắn lên cơ thể người để đo các hoạt
động của tim người. Khi tim đập tác dụng lên các điện cực tạo ra các xung điện. Thông
thường các xung điện này rất nhỏ do đó cần phải khuếch đại lên rời mới được xử lí. Tín
hiệu điện tim được đặc trưng bởi các dạng sóng được ký hiệu P, Q, R, S, T và U [2, 3].
Do trái tim trong hệ tuần hồn là bợ phận có cấu tạo hoàn toàn bằng cơ. Mỗi khi
co lại trong quá trình bơm máu, nó sẽ tạo ra mợt điện trường sinh học và truyền qua khối
dẫn liên hợp từ ngực, bụng tới bề mặt da. Vì thế, chúng ta có thể đo được sự chênh lệch
điện thế sinh học này từ bất kỳ 2 điểm nào trên bề mặt da. Tín hiệu thu được tại mỗi cặp
2 điểm này được gọi là mợt đạo trình của tín hiệu điện tim đờ. Biên đợ và dạng sóng của
tín hiệu ECG phụ thuộc vào cặp điện cực được đặt ở đâu trên bề mặt da của bệnh nhân.

2.1.2 Cấu trúc giải phẫu và chức năng của tim

Hình 2.1 Cấu tạo tim người
Tim là một tổ chức cơ rỗng gồm 4 buồng. Bên ngồi được bao bọc bởi mợt túi sợi
gọi là bao tim, bên trong được cấu tạo bằng cơ tim có vách ngăn chia tim thành hai nửa
BỘ MÔN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH

do an

Trang 4


CHƯƠNG 2. CƠ SỞ LÝ THUYẾT

riêng biệt gọi là tim trái và tim phải. Tim trái bơm máu ra ngoại vi, còn tim phải bơm
máu lên phổi. Mỗi nửa tim lại được chia ra thành hai buồng, buồng trên là tâm nhĩ có
thành mỏng làm nhiệm vụ chứa máu, b̀ng dưới là tâm thất có thành dày, khối cơ lớn
giúp cung cấp lực đẩy máu đi đến các bộ phận. Giữa tâm nhĩ và tâm thất có van nhĩ thất,

giữa tâm thất trái và động mạch chủ, tâm thất phải và đợng mạch phổi có van bán nguyệt.
Các van này đảm bảo cho máu chỉ di chuyển theo một chiều từ tâm nhĩ xuống tâm thất,
từ tâm thất xuống động mạch chứ không cho đi ngược lại, nhờ vậy đảm bảo được sự
tuần hồn máu.
Ngồi ra, tim cịn có mợt cấu trúc đặc biệt thực hiện chức năng phát và dẫn
truyền xung được gọi là hệ dẫn truyền. Hệ thống dẫn truyền gồm:
+ Nút xoang nhĩ (SAN): là nút tạo nhịp cho tồn bợ trái tim, nằm ở cơ tâm nhĩ
phải, phát xung với tần số khoảng 120 lần/phút.
+ Các đường liên nút: nằm ở giữa nút xoang nhĩ và nút nhĩ thất, thực hiện chức
năng dẫn truyền các xung động giữa nút xoang nhĩ và nút nhĩ thất.
+ Nút nhĩ thất (AVN): nằm ở bên phải vách liên nhĩ, giữ nhiệm vụ làm chậm dẫn
truyền trước khi các xung động được truyền xuống thất với tần số khoảng 50-60
lần/phút.
+ Bó His: bắt đầu từ nút nhĩ thất đến vách liên thất thì chia thành hai nhánh trái và
phải chạy dưới nội tâm mạc hai thất để dẫn truyền xung động đến hai thất, tại đây,
chúng phân nhánh thành mạng lưới Purkinje chạy giữa các sợi cơ tim giúp dẫn
truyền xung đợng xun qua các thành của thất. Bó His phát xung khoảng 30-40
lần/phút.

Hình 2.2 Hệ thớng dẫn trùn tim
BỘ MÔN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH

do an

Trang 5


CHƯƠNG 2. CƠ SỞ LÝ THUYẾT

2.1.3 Nhịp tim

Nhịp tim là số nhịp đập của tim trên một đơn vị thời gian, thường được tính bằng
số nhịp/phút. Nhịp tim có thể thay đổi theo nhu cầu hấp thụ Oxi và bài tiết CO2 của cơ
thể, ví dụ như lúc tập thể dục và lúc ngủ.
Tim là tổ chức cơ rỗng, tại đó sự co bóp mợt cách tuần tự các cơ sẽ tạo ra áp lực
đẩy máu đi qua các bộ phận khác nhau trên cơ thể. Mỗi nhịp tim được kích thích bởi
xung điện từ các tế bào nút xoang tại tâm nhĩ. Các xung điện truyền đến các bộ phận
khác của tim và làm cho tim co bóp. Việc ghi tín hiệu điện tim là ghi lại các tín hiệu
điện này (tín hiệu ECG).

2.1.4 Các q trình điện học của tim
Năng lượng chuyển hóa được sử dụng để tạo ra mơi trường trong giàu Kali nhưng
ít Natri so với thành phần ngoại bào Natri cao và Kali thấp. Do có sự khơng cân bằng
tờn tại điện thế tĩnh trên màng tế bào, bên trong chừng 90mV so với bên ngồi. Khi tế
bào bị kích thích (bằng cách cho dịng điện vốn làm tăng tạm thời thế ngang màng), các
tính chất của màng thay đổi theo chu trình, pha thứ nhất của nó là đợ thẩm mạnh đối với
Natri, dịng Natri lớn (sớm) chảy vào trong do các gradient khuếch tán và điện.
Dòng chảy tạo ra dòng điện. Trong khi di chủn tiếp, tế bào về cơ bản có tính
chất như ng̀n lưỡng cực điện. Dịng Natri chủn tiếp này chịu trách nhiệm về dịng
mạch điện nợi tại và là mợt phần của dịng điện đó. Theo cách này, hoạt động mở rộng
tiếp tới các tế bào lân cận. Khi màng hời phục (trở về các tính chất nghỉ), thế tác đợng
của tế bào kết thúc và nó trở lại trạng thái nghỉ và có khả năng được tái kích thích. Nói
mợt cách ngắn gọn khi có dịng Natri, Kali chảy qua màng tim thì có điện thế được sinh
ra.

2.1.5 Q trình hình thành tín hiệu điện tim
2.1.5.1 Nhĩ đồ
Tim hoạt động được nhờ vào một xung động truyền qua mợt hệ thống thần
kinh tự kích của tim. Đầu tiên, nút xoang nhĩ sẽ phát xung tự động, xung động tỏa
ra làm cơ nhĩ khử cực trước. Sóng khử cực có hướng chung là từ trên xuống dưới,
từ phải sang trái và hợp với phương ngang mợt góc 490. Đợt sóng này được máy

ghi điện tim ghi lại với dạng mợt sóng dương, đơn, thấp, nhỏ và có biên đợ khoảng
0,25mV gọi là sóng P (hình 2.3)
BỘ MƠN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH

do an

Trang 6


CHƯƠNG 2. CƠ SỞ LÝ THUYẾT

Hình 2.3 Khử cực tâm nhĩ và sự hình thành sóng P

2.1.5.2 Thất đồ
Ngay khi nhĩ cịn đang khử cực thì xung đợng đã bắt đầu truyền vào nút nhĩ
thất xuống thất và hai nhánh bó His xuống khử cực thất. Sóng khử cực hướng từ
giữa mặt trái đi xuyên qua mặt phải của vách liên thất. Máy sẽ ghi nhận được mợt
sóng âm nhỏ, gọn gọi là sóng Q (hình 2.4).

Hình 2.4 Khử cực vách liên thất và sự hình thành sóng Q
Xung tiếp tục truyền xuống và tiến hành khử cực đồng thời cả hai tâm thất theo
hướng xuyên qua bề mặt dày cơ tim, từ dưới nội tâm mạc ra dưới thượng tâm mạc. Véctơ khử cực hướng từ phải sang trái và máy ghi nhận được mợt làn sóng dương, cao và
nhọn gọi là sóng R. Sau cùng, xung đợng trùn xuống và khử cực vùng đáy thất. Véctơ khử cực hướng từ trái sang phải, máy sẽ ghi nhận được mợt sóng âm, nhỏ và nhọn

BỘ MƠN ĐIỆN TỬ CƠNG NGHIỆP – Y SINH

do an

Trang 7



CHƯƠNG 2. CƠ SỞ LÝ THUYẾT

gọi là sóng S (hình 2.5).

Hình 2.5 Khử cực ở tâm thất và sự hình thành sóng R, S

Hình 2.6 Tái cực tâm thất và sự hình thành sóng T
Sau khi thất khử cực xong sẽ qua thời kỳ tái cực chậm. Giai đoạn này được thể
hiện trên điện tâm đồ bằng một đường đẳng điện gọi là đoạn S – T (hình 2.6). Sau đó là
thời kỳ tái cực nhanh tạo nên sóng T. Tái cực có hướng xuyên qua cơ tim, từ lớp dưới
thượng tâm mạc vào lớp dưới nội tâm mạc. Véc-tơ tái cực có hướng từ trên xuống dưới
và từ phải sang trái tạo ra mợt sóng dương, thấp, khơng đối xứng mà có sườn lên thoai
BỘ MƠN ĐIỆN TỬ CƠNG NGHIỆP – Y SINH

do an

Trang 8


CHƯƠNG 2. CƠ SỞ LÝ THUYẾT

thoải hơn và sườn xuống dốc đứng hơn gọi là sóng T. Sau khi kết thúc sóng T cịn có
thể thấy được mợt sóng chậm nhỏ gọi là sóng U đặc trưng cho giai đoạn tái cực ṃn.

2.1.6 Sự hình thành các dạng sóng của tim
2.1.6.1 Tính dẫn truyền
Tim là mợt khối cơ rỗng gờm 4 buồng, dày mỏng không đều nhau, cấu trúc phức
tạp làm cho tín hiệu điện của tim phát ra thực chất là tổng hợp của các sợi cơ tim, phức
tạp hơn của một tế bào hay một sợi cơ.

Nút SA là một chùm nhỏ tế bào (khoảng 3x10 mm) nằm ở cuối thành của tâm nhĩ,
ngay dưới điểm gắn vào của tĩnh mạch trên (đóng vai trị khởi phát). Nó cung cấp tín
hiệu kích thích truyền xung ra cơ nhĩ làm cho nhĩ khử cực, nhĩ bóp trước đẩy máu xuống
thất. Vận tốc truyền đối với thế động năng của nút SA là khoảng 30cm/s trong mơ tâm
nhĩ. Sau đó nút nhĩ thất Tawara (AV node: Aschoff - Tawara node) nhờ tiếp nhận xung
đợng sẽ trùn qua bó His. Có một bộ dãy mô chuyên biệt nằm giữa nút SA và AV, ở
đó vận tốc truyền nhanh hơn vận tốc trong mô tâm nhĩ khoảng 51cm/s, con đường truyền
dẫn bên trong này mang tín hiệu đến các tâm thất. Do tâm thất phải hoạt động đáp ứng
lại một động năng trước khi tâm nhĩ rỗng nên ở mức động năng 45cm/s sẽ đạt đến nút
AV trong khoảng 30 đến 50ms sau khi phóng từ nút SA. Sau đó nút AV hoạt đợng giống
như mợt giới hạn hỗn nhằm làm chậm lại phần đến trước của thế động năng cùng với
hệ thống dẫn điện bên trong hướng đến các tâm thất.
Xung truyền qua hai nhánh cơ tâm thất nhờ mạng lưới Purkinje và làm khử cực
tâm thất. Lúc này thất đã đầy máu sẽ bóp mạnh và đẩy máu ra ngồi. Tính dẫn đường
các sợi Purkinje rất nhanh. Thế đợng năng chạy qua khoảng cách giữa các nút SA và
AV là khoảng 40ms và bị làm chậm lại bởi nút AV khoảng 100ms sao cho kích hoạt các
ngăn dưới có thể đồng bộ với phần trống của các ngăn trên. Việc dẫn vào các chùm
nhánh thì khá nhanh giả định cho 60ms khác vươn đến các sợi Purkinje xa nhất.

2.1.6.2 Tính trơ và các thời kì trơ
Tính chất chính của tế bào cơ (phụ trách truyền dẫn) liên quan đến sự hình thành
chứng loạn nhịp là sự trơ (không phản ứng) đối với kích thích trong mợt giai đoạn xác
định nào đó. Khoảng thời gian này được gọi là chu kì trơ.
Trong suốt chu kì trơ, các tế bào tái cực. Mật đợ ion K+, Na+ bên trong và cả bên
ngồi thay đổi do các ion trên di chuyển qua màng tế bào để tạo điện thế nghỉ.
BỘ MÔN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH

do an

Trang 9



CHƯƠNG 2. CƠ SỞ LÝ THUYẾT

Chu kì trơ có thể chia làm hai phần:
+ Giai đoạn đầu ngay lập tức theo sau giai đoạn khử cực, tế bào hoàn toàn khơng
phản ứng lại với kích thích bên ngồi và được gọi là giai đoạn trơ tuyệt đối (ARP
- Absolute Refractory Period).
+ Giai đoạn sau là giai đoạn sự khử cực có thể thực hiện được mặc dù điện thế tương
đối khá nhỏ nên xung không đủ lan ra các tế bào bên cạnh. Trong giai đoạn này, tế
bào được gọi là trơ tương đối (RRP - Relative Refractory Period).

2.1.6.3 Điện trường của tim
Sự lan truyền xung trong tim và ở môi trường trung gian từ tim đến da cũng như
hình dạng bề mặt cơ thể.
Xét phân bố điện thế: Giả sử cơ thể là môi trường dẫn điện và điện môi không
đồng nhất. Điện thế sẽ tăng trong các mô dẫn truyền của cơ tim trong lúc khử cực và tái
cực. Sự phân bố điện thế có thể được xem tương đương với sự phân bố điện trường.
Theo tính chất của điện trường, mỗi điểm của cơ thể có mợt véc-tơ mật đợ dịng điện.
Tim nằm trong mợt chất khơng đờng nhất lớn vơ hạn có cùng đợ dẫn trùn. Trong
trường hợp chất trung gian có giới hạn, các điểm trên bề mặt có véc-tơmật đợ dịng điện
khác nhau nên xem như cấu trúc của tim là một dipole. Giá trị tức thời mô-men điện (E)
trong một chu kỳ làm việc của tim tạo một đường cong không gian phức tạp khép kín.
Lúc đó điện trường của tim được biểu diễn bằng những đường đẳng áp.
Vì thế điện thế tim có thể đo gián tiếp nhờ các điện cực đặt lên những điểm xác
định trên bề mặt cơ thể. Nếu như ta đặt tim vào trong một hệ tọa độ vng góc ba chiều
thì hình chiếu đường cong của khơng gian này lên cả ba mặt phẳng đều có dạng ba
đường cong có tên là P, QRS, T. Véc-tơ tạo đường cong trên mặt phẳng chính diện này
bằng chính véc-tơ điện tim. Phương pháp này được gọi là điện tim đờ.
2.1.7 Các thành phần của tín hiệu điện tim ECG


Hình 2.5 Dạng sóng tín hiệu điện tim
Trong hình 2.5 là tín hiệu ECG gờm các thành phần:
BỘ MƠN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH

do an

Trang 10


CHƯƠNG 2. CƠ SỞ LÝ THUYẾT


Sóng P: thể hiện q trình khử cực ở tâm nhĩ trái và phải, sóng P có dạng mợt

đường cong điện thế dương, kéo dài khoảng 0.06 đến 0.1 giây.


Đoạn PR: là đoạn từ điểm bắt đầu sóng P đến trước điểm bắt đầu phức QRS. Nó

bao gờm thời gian khử cực tâm nhĩ và dẫn đến nút AV. Đoạn PR kéo dài khoảng 0.12
đến 0.2 giây.


Phức QRS: thể hiện quá trình khử cực tâm thất, kéo dài khoảng 0.04 đến 0.1 giây.

Phức QRS chia ra ba trạng thái là Q, R và S.


Đoạn ST: từ lúc kết thúc quá trình khử cực tâm thất đến trước qua trình tái phân


cực. Điểm bắt đầu gọi là điểm J, điểm kết thúc gọi là điểm ST.


Sóng T: thể hiện quá trình tái phân cực tâm thất. Vì q trình này có tốc đợ chậm

hơn khử cực nên sóng T rợng và có đợ dốc thấp.


Sóng U: hiện nay ng̀n gốc hình thành sóng này chưa được xác định rõ ràng vì

thế ít được đề cập tới.
Mỗi thành phần này có đặc trưng riêng, đáp ứng riêng nhưng có chung đặc điểm
đều là các hiện tượng điện sinh vật. Hiện tượng điện sinh vật là quá trình hoá lý, hoá
sinh phức tạp xảy ra bên trong và ngồi màng tế bào.
- Nhĩ đồ:
Xung đợng đi từ nút xoang (ở nhĩ phải) sẽ tỏa ra làm khử cực cơ nhĩ với hướng
chung là từ trên xuống dưới và từ phải sang trái. Như vậy véc-tơ khử cực nhĩ sẽ có
hướng từ trên xuống dưới và từ phải sang trái, tạo với đường ngang mợt góc +49 0 và
cịn gọi là trục điện nhĩ, tạo được mợt làn sóng dương thấp, nhỏ với thời gian khoảng từ
0,05s → 0,1s gọi là sóng P. Do đó, trục điện nhĩ lại cịn có tên gọi là trục sóng P.
Khi nhĩ tái cực, nó có phát ra dịng điện ghi lên máy bằng mợt sóng âm nhỏ gọi là
sóng Ta (auricular T). Ngay lúc này cũng xuất hiện khử cực thất (QRS) với điện thế
mạnh hơn nhiều nên trên điện tim đồ thơng thường ta khơng nhìn thấy được sóng Ta
nữa. Tóm lại, nhĩ đờ có nghĩa là sự hoạt đợng của nhĩ chỉ thể hiện lên điện tim bằng mợt
làn sóng chính là sóng P [1].
- Thất đồ:
• Khử cực: Xảy ra ngay khi nhĩ đang cịn khử cực rời bắt vào nút nhĩ-thất rời trùn
qua thất và hai nhánh bó His xuống khử cực thất. Việc khử cực này bắt đầu từ phần giữa
mặt trái vách liên thất xuyên sang mặt phải vách này, tạo ra một véc-tơ khử cực đầu tiên

BỘ MÔN ĐIỆN TỬ CÔNG NGHIỆP – Y SINH

do an

Trang 11


×