Tải bản đầy đủ (.pdf) (26 trang)

THỰC TẬP KỸ THUẬT SỐ - BÀI 9 doc

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (534.38 KB, 26 trang )


110
Bài 9 : Mạch đếm
(Counters)
A - Phần tóm tắt lý thuyết
1. Mạch đếm nối tiếp:
Trong mạch đếm nối tiếp trigơ đầu tiên chuyển trạng thái bằng xung nhịp Ck.
Các trigơ sau chuyển trạng thái bằng xung lối ra của trigơ trớc nó. Mạch đếm
nối tiếp cũng còn đợc gọi là mạch đếm không đồng bộ (Asynchronous).
Dới dây là sơ đồ logic của mạch đếm modun 16 theo kiểu nối tiếp có điều
khiển bằng đầu vào counT.







Sau khi xoá mạch đếm bằng xung xoá
CLR
ta có :
Q = Q
D
Q
C
Q
B
Q
A
= 0 0 0 0
Đặt counT = 1 , mạch đếm bắt đầu hoạt động theo xung nhịp tác dụng.


Giản đồ thời gian đợc mô tả trên hình sau. Sau Ck1 mạch đếm 0001 đến
CK15 mạch đếm 1111, CK16 trở lại 0000. Nh vậy mạch đếm có 4 trigơ đếm từ 0
15 gọi là đếm modun 16. Tổng quát nếu sơ đồ đếm có n trigơ sẽ đếm đợc
modun 2
n
.
Nhìn giản đồ xung ta cũng thấy mỗi trigơ chia tần số xung nhịp làm 2. Do đó,
4 trigơ sẽ chia tần số xung nhịp làm 2
4
= 16, n trigơ sẽ chia tần số xung nhịp theo
hệ số 2
n
.



CLR
CK
COUNT
SET
0V
0V
+V
5V
B AC
D
+V
5V
S
J

CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q


111









2. Mạch đếm song song.
Trong mạch đếm song song, xung nhịp tác động đồng thời vào tất cả các trigơ.
Mạch đếm song song cũng còn đợc gọi là mạch đếm đồng bộ (Synchronous). Ta
biết rằng trong mạch đếm nối tiếp, xung nhịp Ck phải lần lợt qua từng trigơ
một. Nếu thời gian lan truyền của xung nhịp qua mỗi trigơ là t
p
(t
p
= 10 ns) thì
tổng thời gian trễ qua n trigơ là n.t
p
. Nh vậy mạch đếm nối tiếp là chậm chạp
không đáp ứng đợc trong một số mạch cần tác động nhanh.
Sơ đồ logic của mạch đếm modun 16 theo kiểu đếm song song có đầu vào điều
khiến counT đợc trình bày dới đây.
Khi counT = 0 , các trigơ J-K không thay đổi trạng thái.
Khi counT = 1 , mạch đếm bắt đầu hoạt động theo xung nhịp Ck.
Sau khi xoá mạch đếm bằng xung xoá
CLR ta có :

Q = Q
D
Q
C
Q
B
Q
A
= 0 0 0 0
Việc phân tích mạch rất đơn giản vì theo tính chất của trigơ J-K thì chỉ khi
nào J = K = 1 trigơ sẽ thay đổi trạng thái khi có sờn âm của xung nhịp tác dụng.
Mạch lần lợt đếm từ 0000 đến 1111 tức là từ 0 đến 15 (hệ thập phân).
ứng với sờn âm xung nhịp thứ 15, ta có :
Q = Q
D
Q
C
Q
B
Q
A
= 1 1 1 1
Bây giờ cả bốn trigơ đều có J = K = 1 và khi sờn âm xung nhịp CK thứ 16
tác động thì chúng chuyển trạng thái từ 1 về 0 . Tăng thêm các trigơ và các
cửa và ta có mạch đếm đồng bộ với chiều dài tuỳ ý. Ưu việt của mạch đếm đồng
bộ là tốc độ nhanh.
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
Ck
Q
A

Q
B
Q
C
Q
D

112









3. Các mạch đếm khác
a) Mạch đếm với modun tuỳ ý:
Modun của một mạch đếm là số trạng thái đầu ra của mạch đếm đó. Mạch
đếm nối tiếp 4-bit nói trên có modun 16 vì rằng nó có 16 trạng thái phân biệt đợc
đánh số từ 0000 ữ 1111 . Bằng cách thay đổi thiết kế mạch ta có thể xây dựng
mạch đếm với modun theo ý muốn.
Sơ đồ logic mạch đếm nối tiếp modun 6 xây dựng từ 3 trigơ J-K và một cửa
không và và một cửa và.
Mạch đếm bị xoá khi hoặc
CLR
= 0 hoặc Y = 0
Đầu tiên
CLR = 0 , ta có Q = Q

C
Q
B
Q
A
= 0 0 0 .
Khi
CLR
trở về 1 , mạch đếm bắt đầu hoạt động.
Mạch đếm 3 bit bình thờng đếm từ 000 ữ 111 , ta cần xoá bỏ 2 từ đếm cuối
cùng 110 và 111. Với mạch đếm modun 6 ta phải xoá ngay từ đếm:
Q = Q
C
Q
B
Q
A
= 1 1 0 .
Cửa không và với đầu ra Y =
01.1Q.Q
BC
== đã thực hiện xoá
mạch đếm để chu trình lặp lại từ đầu .




"1"
"1"
QDQC

QB
QA
Ck
Count
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J

CP
K
R
Q
_
Q

113








Mạch đếm nối tiếp modun 10 có cách mắc tơng tự. Mạch đếm này có tên gọi
là Đề cát (decade).








Khi đếm xung thứ 9 , ta có Q = Q
D
Q
C

Q
B
Q
A
= 1 0 0 1. Ta cần dập từ đếm
thứ 10 ( Q = Q
D
Q
C
Q
B
Q
A
= 1010) và cửa và với đầu ra
0QQY
BD
==
đã thực
hiện đợc việc xoá toàn mạch để chu trình lặp lại từ đầu.
Lu ý rằng không nhất thiết cứ phải dùng mạch đếm nối tiếp mới xây dựng
đợc mạch đếm với modun bất kỳ. Sơ đồ logic dới đây, với đờng liên kết chọn
thích hợp ta có đợc mạch đếm Đề cát . Vi mạch 74LS90 là một mạch đếm Đề cát
đợc thiết kế theo nguyên tắc này.






"1"

CLR
Count
QC
QB
QA
Ck
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q

"1"
QD
S
J
CP
K
R
Q
_
Q
CLR
Count
QCQB
QA
Ck
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_

Q
S
J
CP
K
R
Q
_
Q

114








Giản đồ thời gian dới đây sẽ giải thích đầy đủ hoạt động của Đề cát này.









- Đờng liên kết (3,2) buộc trigơ D phải chuyển trạng thái ở sờn âm CK8

- Đờng liên kết (2) buộc trigơ D phải chuyển trạng thái ở sờn âm CK10
- Đờng liên kết (1) buộc trigơ B không đổi trạng thái ở sờn âm CK10
Lu ý 2 trigơ B và D chịu tác động trực tiếp của xung lối ra Q
A
của trigơ A.
b) Mạch đếm tiến, mạch đếm lùi (UP Counter, down Counter).







1 2 345678910
Ck
Q
A
Q
B
Q
C
Q
D
3

2

1

b

a
b
a
"1"
"1"
Count
QC
QB
QA
Ck
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP
K

R
Q
_
Q
2
3
1
"1"
"1"
"1"
"1"
"1"
"1"
"1"
"1"
QA
QB
QC
QD
S
J
CP
K
R
Q
_
Q
Ck
S
J

CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q

115
Trên đây là sơ đồ logic của mạch đếm nối tiếp 3 bit.
- Nếu khoá S ở vị trí a, ta có mạch đếm tiến nối tiếp.
- Nếu khoá S ở vị trí b, ta có mạch đếm lùi nối tiếp.
ở đây muốn xét kỹ mạch đếm lùi (khoá S ở vị trí b). Sau khi xoá toàn bộ mạch
đếm (đặt
0CLR =

sau đó chuyển
1CLR =
) , ta để
PR
= 0 . từ nhị phân lối ra sẽ là:
Q = Q
C
Q
B
Q
A
= 1 1 1 .
Để
PR = 1 , mạch đếm bắt đầu hoạt động (đếm lùi).
Kết thúc xung nhịp 1 : Q = 1 1 0
2 : Q = 1 0 1
3 : Q = 1 0 0
4 : Q = 0 1 1
5 : Q = 0 1 0
6 : Q = 0 0 1
7 : Q = 0 0 0
Vậy là mạch đã đếm lùi từ 7 đến 0, tơng ứng với từ nhị phân 3 bit từ 111
đến 000. Xung nhịp thứ 8 tiếp theo làm cho mạch đếm quay trở lại trạng thái ban
đầu Q = 111.
Dới đây là hình vẽ cho mạch đếm tiến - lùi 4 bit. Nhờ đầu vào điều
khiển UP/down mà mạch sẽ đếm theo kiểu tiến hoặc lùi .

Khi UP/down = 1 , mạch đếm tiến từ 0000 đến 1111
Khi UP/down = 0 , mạch đếm lùi từ 1111 đến 0000 .
c) Mạch đếm đặt trớc (Presettable Counter).

Trong mạch đếm đặt trớc phép đếm bắt đầu từ một số lớn hơn số 0. Hình
vẽ dới đây là một mạch đếm đặt trớc, phép đếm bắt đầu từ số nhị phân D C B
A , một số nằm giữa số 0000 và 1111.

116
Khi cho đầu load (nạp) = 0 , mạch đếm bình thờng . Khi cho đầu
load = 1, mạch đếm xác lập đầu ra Q = D C B A. Giả sử số đặt trớc là :
D C B A = 0 1 1 0
Ta lần lợt làm nh sau :
LOAD = 1 ; Q = 0101
LOAD = 0 ; theo xung nhịp tác động, mạch đếm lần lợt cho các kết quả :
Q = 0110
Q = 0111
Q = 1000
Q = 1001
cho đến số cực đại Q = 1111
Xung nhịp tiếp theo làm cho mạch nạp lại giá trị đặt trớc ABCD

Lập trình modun (Programming Modulus).
Một ứng dụng vô cùng quan trọng của mạch đếm đặt trớc là lập trình
modun. Mạch logic vừa đợc trình bày trên đếm từ 6 đến 15 tơng ứng với tù nhị
phân 0110 đến 1111. Ta muốn mạch đếm quay trở lại trạng thái xác lập ban đầu
theo giản đồ trạng thái sau :
6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 6 , 7, 8
Nói khác đi ta cần lập trình modun 10 cho mạch đếm mà số đặt trớc là 6
0110
2
. Muốn vậy, các đầu ra Q kích thích cửa không hoặc 4 đầu vào và cửa
không hoặc điều khiển trở lại đờng LOAD .


117
QA
QB
QC
QD
LOAD

Khi Q = Q
D
Q
C
Q
B
Q
A
= 0000 thì LOAD = 1 . Hầu nh ngay tức khắc , các
đầu vào số liệu DCBA = 0110 xác lập trạng thái ban đầu cho mạch đếm Q = 0110.
Lúc này LOAD = 0, mạch đếm hoạt động trở lại đếm tiếp 0111, 1000
Nếu thay đổi số liệu đặt trớc DCBA, ta có các modun khác nhau. Công thức
tổng quát :
M = N - P
M là modun mạch đếm đặt trớc ; N - 2
n
là modun tự nhiên trong đó n là số
trigơ , P là số đếm đặt trớc . Trong ví dụ trên M = 10, N = 16, P = 6.
Bảng dới đây là một số mạch đếm họ TTL .
7490
là mạch đếm 10 nối tiếp (decade). Cấu trúc của mạch logic có 2 phần :
phần đầu chia 2, phần sau chia 5.
7492

là mạch đếm modun 12. Cấu trúc của mạch logic có 2 phần : phần đầu
chia 2, phần sau chia 6.
7493
là mạch đếm modun 16. Cấu trúc của mạch logic có 2 phần : phần đầu
chia 2, phần sau chia 8.
74160 và 74161
là mạch đếm đồng bộ đặt trớc . Mạch đếm đầu là Decade,
mạch sau có modun 16.
74190 và 74191
là mạch đếm đặt trớc tiến lùi. Mạch đếm đầu có modun 10,
mạch sau có modun 16.

7490
7492
7493
74160
74161
74190
Decade
Divide - by - 12
Divide - by - 16
Presettable Decade
Presettable divide - by - 16
Up - down presettable decade

118
74191 Up - down presettable divide - by - 16


119

B - Phần bài tập.
1. Mạch đếm nối tiếp .
a) Mạch đếm nối tiếp modun 16
Sơ đồ thí nghiệm







Các bớc tiến hành thí nghiệm:
Bớc1:

Thực hiện vẽ mạch nh hình trên bằng cách sử dụng:
04 Trigơ JK loại 74LS112 [Digital by Number/741xx/74112 1/2]
02 Logic Switch [Switches/Digital/Logic Switch] (s)
04 Logic Display [Displays/Digital/Logic Display] (9)
02 Logic Source [Sources/Linear/+V] (1)
Chú ý:

[ ] Đờng dẫn để lấy linh kiện trong th viện
( ) Ký hiệu phím tắt
Bớc 2:

Sau khi vẽ xong mạch, bạn nhấp lên nút Run trên thanh công cụ. Kích
chuột vào các logic switch để lần lợt thay đổi các mức logic của các logic
switch. Hãy quan sát sự thay đổi các trạng thái ở lối ra Q
A
Q

B
Q
C
Q
D

Bớc 3:

- Ban đầu xoá mạch đếm bằng xung CLR , sau đó mạch đếm hoạt động
theo xung nhịp C
K
tác dụng, quan sát giá trị logic lối ra Q
A
Q
B
Q
C
Q
D

điền đầy đủ vào bảng chân lý.
CLR
CK
COUNT
SET
0V
0V
+V
5V
B AC

D
+V
5V
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP

K
R
Q
_
Q

120
- So sánh với bảng chân lý ở phần lý thuyết













b) Mạch đếm modun 12
Sơ đồ thí nghiệm








Các bớc tiến hành thí nghiệm:
Bớc1:

Thực hiện vẽ mạch nh hình trên bằng cách sử dụng:
04 Trigơ JK loại 74LS112 [Digital by Number/741xx/74112 1/2]
02 Logic Switch [Switches/Digital/Logic Switch] (s)
04 Logic Display [Displays/Digital/Logic Display] (9)
02 Logic Source [Sources/Linear/+V] (1)
Thứ tự Ck Q
D
Q
C
Q
B
Q
A
1
2
3
4
5
6
7
8
9
10
11
12
13
14

15
16
0 0 0 1
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
SET
COUNT
CK
CLR
QAQBQCQD
0V
0V
U2A
U1A
S
J
CP

K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
+V

5V
+V
5V

121
01 Cổng NAND 2 lối vào [Digital Basic/Gates/2-in NAND] (5)
01 Cổng AND 2 lối vào [Digital Basic/Gates/2-in AND] (3)
Bớc 2:

Sau khi vẽ xong mạch, bạn nhấp lên nút Run trên thanh công cụ. Kích
chuột vào các logic switch để lần lợt thay đổi các mức logic của các logic
switch. Hãy quan sát sự thay đổi các trạng thái ở lối ra Q
A
Q
B
Q
C
Q
D

Bớc 3:

- Ban đầu xoá mạch đếm bằng xung CLR , sau đó mạch đếm hoạt động
theo xung nhịp C
K
tác dụng, quan sát giá trị logic lối ra Q
A
Q
B
Q

C
Q
D

điền đầy đủ vào bảng chân lý
- So sánh với bảng chân lý ở phần lý thuyết









2. Mạch đếm song song
Sơ đồ thí nghiệm
Các bớc tiến hành thí nghiệm:
Bớc1:

Thực hiện vẽ mạch nh hình trên bằng cách sử dụng:
04 Trigơ JK loại 74LS112 [Digital by Number/741xx/74112 1/2]
02 Logic Switch [Switches/Digital/Logic Switch] (s)
04 Logic Display [Displays/Digital/Logic Display] (9)
02 Logic Source [Sources/Linear/+V] (1)
Thứ tự Ck Q
D
Q
C
Q

B
Q
A
1
2
3
4
5
6
7
8
9
10
11
12
0 0 0 1
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _

122
02 Cổng AND 2 lối vào [Digital Basic/Gates/2-in AND] (3)










Bớc 2:

Sau khi vẽ xong mạch, bạn nhấp lên nút Run trên thanh công cụ. Kích
chuột vào các logic switch để lần lợt thay đổi các mức logic của các logic
switch. Hãy quan sát sự thay đổi các trạng thái ở lối ra Q
A
Q
B
Q
C
Q
D

Bớc 3:

- Ban đầu xoá mạch đếm bằng xung
CLR
, sau đó mạch đếm hoạt động
theo xung nhịp C
K
tác dụng, quan sát giá trị logic lối ra Q

A
Q
B
Q
C
Q
D

điền đầy đủ vào bảng chân lý.
- So sánh với bảng chân lý ở phần lý thuyết
Thứ tự Ck Q
D
Q
C
Q
B
Q
A
1
2
3
4
5
6
7
8
9
10
11
12

13
14
15
16
0 0 0 1
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
_ _ _ _
QD
QCQB
QA
CLR
CK
SET
0V
0V
+V
5V

+V
5V
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP
K
R
Q
_
Q
S
J
CP
K

R
Q
_
Q

123
Vẽ giản đồ thời gian của mạch đếm









3. Mạch đếm tiến lùi
Sơ đồ thí nghiệm







Các bớc tiến hành thí nghiệm:
Bớc1:

Thực hiện vẽ mạch nh hình trên bằng cách sử dụng:
04 Trigơ JK loại 74LS112 [Digital by Number/741xx/74112 1/2]

04 Logic Switch [Switches/Digital/Logic Switch] (s)
03 Logic Display [Displays/Digital/Logic Display] (9)
01 Logic Source [Sources/Linear/+V] (1)
06 Cổng NAND 2 lối vào [Digital Basic/Gates/2-in NAND] (5)
01 Cổng NOT [Digital Basic/Buffers/Inverters/Inverter]
Bớc 2:

SET
UP/DOWN
CK
CLR
COUNT
0V
5V
5V
0V
+V
5V
S
J
CP
K
R
Q
_
Q
S
J
CP
K

R
Q
_
Q
S
J
CP
K
R
Q
_
Q
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
Ck
Q
A
Q
B
Q
C
Q
D

124
Sau khi vẽ xong mạch, bạn nhấp lên nút Run trên thanh công cụ. Kích
chuột vào các logic switch để lần lợt thay đổi các mức logic của các logic
switch. Hãy quan sát sự thay đổi các trạng thái ở lối ra Q
A
Q
B

Q
C

Bớc 3:

- Ban đầu xoá mạch đếm bằng xung
CLR
, sau đó mạch đếm hoạt động
theo xung nhịp C
K
tác dụng, quan sát giá trị logic lối ra Q
A
Q
B
Q
C
Q
D

điền đầy đủ vào bảng chân lý
- So sánh với bảng chân lý ở phần lý thuyết


4. Nghiên cứu sự hoạt động của mạch đếm 74LS161
Hình vẽ sau trình bày sơ đồ logic , ký hiệu logic, bảng chân lý của 74LS161,
đồng thời có hình vẽ mẫu modun 74LS161 của phòng thí nghiệm. Hãy lần lợt
làm các thí nghiệm sau đây :
Thí nghiệm 1







UP/
DOWN

SETCLR

CK
Q
C
Q
B

Q
A
UP/
DOWN

SETCLR

CK Q
C
Q
B
Q
A
1
1

1
1
1
1
1
1
1
0 1
1 1
1 1
1 1
1 1
1 1
1 1
1 1
1 1
x
CK1
CK2
CK3
CK4
CK5
CK6
CK7
CK8
0 0 0
0 0 1
0 1 0
- - -
- - -

- - -
- - -
- - -
- - -
0
0
0
0
0
0
0
0
0
1 0
1 1
1 1
1 1
1 1
1 1
1 1
1 1
1 1
x
CK1
CK2
CK3
CK4
CK5
CK6
CK7

CK8
1 1 1
1 1 0
1 0 1
- - -
- - -
- - -
- - -
- - -
- - -
Đếm tiến (Up Counter)
Đếm lùi (Down Counter)

125











Các bớc tiến hành thí nghiệm:
Bớc1:

Thực hiện vẽ mạch nh hình trên bằng cách sử dụng:
01 74LS161 [Digital by Number/741xx/74161]

08 Logic Switch [Switches/Digital/Logic Switch] (s)
04 Logic Display [Displays/Digital/Logic Display] (9)
Bớc 2:

Sau khi vẽ xong mạch, bạn nhấp lên nút Run trên thanh công cụ. Kích
chuột vào các logic switch để lần lợt thay đổi các mức logic của các logic
switch. Hãy quan sát sự thay đổi các trạng thái ở lối ra Q
A
Q
B
Q
C

Bớc 3:

- Ban đầu xoá mạch đếm bằng xung CLR , sau đó mạch đếm hoạt động
theo xung nhịp C
K
tác dụng, quan sát giá trị logic lối ra Q
A
Q
B
Q
C
Q
D

điền đầy đủ vào bảng chân lý
- So sánh với bảng chân lý ở phần lý thuyết
Từ kết quả thí nghiệm hãy ghi đầy đủ vào bảng trạng thái và trả lời câu hỏi :

- Tại sao gọi là mạch đếm đặt trớc
- Tại sao gọi là mạch đếm modun 16
Ghi chú :

1) xung nhịp từ 0 lên 1
LOAD
CLR
COUNT
CK
D
C
B
A
QD QC QB
QA
5V
0V
5V
5V
0V
5V
5V
0V
74LS161
7
CEP
10
CET
2
CP

6
D3
5
D2
4
D1
3
D0
9
PE
1
MR
15
TC
11
Q3
12
Q2
13
Q1
14
Q0
U3

126
2) x là bất kỳ.
3) Số xung nhịp Ck tuỳ ý nhng đủ để chứng tỏ rằng modun mạch
đếm là 16 .
Đặt DCBA = 0110
Ck

CLR LOAD
COUNT Q
D
Q
C
Q
B
Q
A


0 x x 0 0 0 0

1 0 x - - - -

1 1 0 - - - -

1 1 1 - - - -

1 1 1 - - - -

- - - -

- - - -

1 1 1 - - - -
Thí nghiệm 2







Các bớc tiến hành thí nghiệm:
Bớc1:

Thực hiện vẽ mạch nh hình trên bằng cách sử dụng:
01 74LS161 [Digital by Number/741xx/74161]
03 Logic Switch [Switches/Digital/Logic Switch] (s)
04 Logic Display [Displays/Digital/Logic Display] (9)
QA
QBQCQD
CK
COUNT
CLR
0V
5V
0V
74LS161A
7
CEP
10
CET
2
CP
6
D3
5
D2
4

D1
3
D0
9
PE
1
MR
15
TC
11
Q3
12
Q2
13
Q1
14
Q0

127
01 Cổng NAND 2 lối vào [Digital Basic/Gates/2-in NAND] (5)
Bớc 2:

Sau khi vẽ xong mạch, bạn nhấp lên nút Run trên thanh công cụ. Kích
chuột vào các logic switch để lần lợt thay đổi các mức logic của các logic
switch. Hãy quan sát sự thay đổi các trạng thái ở lối ra Q
A
Q
B
Q
C

Q
D

Bớc 3:

- Bằng thực nghiệm và lý thuyết trả lời xem mỗi mạch đếm này có modun
đếm bằng bao nhiêu ?
- Ghi kết quả báo cáo vào bảng trạng thái (tự lập bảng này)
Thí nghiệm 3











Các bớc tiến hành thí nghiệm:
Bớc1:

Thực hiện vẽ mạch nh hình trên bằng cách sử dụng:
01 74LS161 [Digital by Number/741xx/74161]
08 Logic Switch [Switches/Digital/Logic Switch] (s)
04 Logic Display [Displays/Digital/Logic Display] (9)
03 Cổng NAND 2 lối vào [Digital Basic/Gates/2-in NAND] (5)
Bớc 2:


QA
QB
QCQD
A
B
C
D
CK
COUNT
CLR
LOAD
0V
0V
5V
0V
5V
5V
5V
0V
74LS161
7
CEP
10
CET
2
CP
6
D3
5
D2

4
D1
3
D0
9
PE
1
MR
15
TC
11
Q3
12
Q2
13
Q1
14
Q0
U4

128
Sau khi vẽ xong mạch, bạn nhấp lên nút Run trên thanh công cụ. Kích
chuột vào các logic switch để lần lợt thay đổi các mức logic của các logic
switch. Hãy quan sát sự thay đổi các trạng thái ở lối ra Q
A
Q
B
Q
C
Q

D

Bớc 3:

- Bằng thực nghiệm và lý thuyết trả lời xem mỗi mạch đếm này có modun
đếm bằng bao nhiêu ?
- Ghi kết quả báo cáo vào bảng trạng thái (tự lập bảng này)
5. Ghép hai mạch đếm 74LS161
- Vẽ các đờng nối mạch rồi xây dựng mạch đo sau tiến hành thí nghiệm
với yêu cầu đếm từ 12
ữ 53.
- Ghi kết quả thực nghiệm vào bảng trạng thái cho đầy đủ.
CLR
5V
CK
0V
74LS161
CEP
CET
CP
D3
D2
D1
D0
PE
MR
TC
Q3
Q2
Q1

Q0
74LS161
CEP
CET
CP
D3
D2
D1
D0
PE
MR
TC
Q3
Q2
Q1
Q0


II I II I
CK
CLEAR
D C B A D C B A Q
D
Q
C
Q
B
Q
A
Q

D
Q
C
Q
B
Q
A

0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0

1 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0

1 - - - - - - - - - - - - - - - -

1 0 0 0 0 1 1 0 0 0 0 1 1 0 1 0 1

1 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0

129
C. Phô lôc
Giíi thiÖu DataSheet c¸c h·ng s¶n xuÊt IC trªn thÕ giíi cña mét sè IC th«ng
dông sö dông trong bµi thùc hµnh.
1. Trig¬ JK (JK trigger)
Tªn IC: 74x112 (TTL)























130
2. Bé ®Õm thËp ph©n; chia 12; ®Õm nhÞ ph©n 4 bit
(Decade counter; divide-by-twelve counter; 4-bit binary counter)
Tªn IC: 74x90, 74x92, 74x93 (TTL)





























131





























132









3. §Õm thËp ph©n m· BCD; ®Õm nhÞ ph©n 4 bit
(JK trigger)
Tªn IC: 74x160, 74x161, 74x162, 74x163 (TTL)
















133




















4. Bé ®Õm BCD/thËp ph©n tiÕn/lïi ®Æt tr−íc; ®Õm nhÞ ph©n 4 bit tiÕn/lïi
®Æt tr−íc
(Presettable BCD/Decade Up/Down Counters; Presettable 4-bit
binary Up/Down Counters)
Tªn IC: 74x190, 74x191 (TTL)




134


























×