Tải bản đầy đủ (.doc) (31 trang)

Đáp án ngân hàng Điện Tử Số pptx

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (468.31 KB, 31 trang )

TRƯỜNG ĐẠI HỌC KỸ THUẬT CÔNG NGHIỆP
KHOA ĐIỆN TỬ
Bộ môn: Kỹ thuật điện tử
ĐÁP ÁN
NGÂN HÀNG CÂU HỎI THI KẾT THÚC HỌC PHẦN
HỌC PHẦN: KỸ THUẬT ĐIỆN TỬ 3a
(3 TÍN CHỈ)
DÙNG CHO ĐÀO TẠO BẬC ĐẠI HỌC THEO HỌC CHẾ TÍN CHỈ
CHO NGÀNH KHOA ĐIỆN TỬ
THÁI NGUYÊN - 8/2007
TRƯỜNG ĐẠI HỌC
KỸ THUẬT CÔNG NGHIỆP
KHOA ĐIỆN TỬ
Bộ môn: Kỹ thuật điện tử
CỘNG HÒA XÃ HỘI CHỦ NGHĨA VIỆT
NAM
ĐỘC LẬP – TỰ DO – HẠNH PHÚC
Thái Nguyên, ngày 20 tháng 8 năm 2007
ĐÁP ÁN
NGÂN HÀNG CÂU HỎI THI
KỸ THUẬT ĐIỆN TỬ 3a
Sử dụng cho hệ đại học theo các chuyên ngành: Tin học, Điều khiển tự động, Kỹ
thuật điện tử, Điện tử viễn thông.
1. NỘI DUNG ĐÁNH GIÁ THI KẾT THÚC HỌC PHẦN:
Sinh viên nắm được các kiến thức cơ bản về điện tử số: Cơ sở đại số lôgic. Các cổng
logic cơ bản, thông dụng. Các mạch Trigơ. Các bộ đếm, mã hoá, giải mã, thanh ghi, chuyển
đổi tín hiệu và bộ nhớ.
2. PHƯƠNG PHÁP ĐÁNH GIÁ
Thi kết thúc học phần là thi viết với thời lượng 90 phút, chấm điểm theo thang điểm 10.
3. NGUYÊN TẮC TỔ HỢP ĐỀ THI
Mỗi đề thi có 3 câu hỏi


Mỗi đề thi được tổ hợp từ 1 câu hỏi lý thuyết (Phần: 4.1) và 2 câu hỏi bài tập (Phần:
4.2; 4.3).
4. ĐÁP ÁN NGÂN HÀNG CÂU HỎI
4.1. CÂU HỎI LOẠI 1 (3 ĐIỂM)
Câu 1. Các phép toán, các quy tắc, định luật, định lý cơ bản của đại số lôgíc?
Trả lời
- Các phép toán:
+ Phép nhân (và) - kí hiệu là AND.
+ Phép cộng (hoặc) - kí hiệu là OR.
+ Phép phủ định (đảo) - kí hiệu là NOT
- Các quy tắc:
- Các định luật, định lý cơ bản
+ Tồn tại phần tử trung tính duy nhất cho phép "nhân", phép "cộng".
+ Hoán vị
+ Kết hợp
+ Phân phối
2
+ Không có hệ số, không có mũ.
+ Định lý Demogran
Câu 2. Các phương pháp biểu diễn hàm lôgíc?
Trả lời
- Biểu đồ Ven
- Bảng thật, bảng trạng thái
- Bìa Cácnô
Câu 3. Trình bày nguyên tắc tối giản hoá hàm lôgic bằng phương pháp bìa Các nô?
Cho ví dụ minh hoạ?
Trả lời
- Cấu tạo
- Nguyên tắc tối giản hàm logic trên bìa các nô
+ Cách nhóm

+ Cách loại biến
+ Các lưu ý cần thiết
+ Cho ví dụ minh hoạ
Câu 4. Các hệ thống số đếm thường sử dụng trong kỹ thuật số?
Trả lời
- Hệ thập phân (Decimal Number System)
+ Cấu tạo
+ Tính chất
+ Khả năng thao tác toán học
- Hệ nhị phân (Binary number System)
+ Cấu tạo
+ Tính chất
+ Khả năng thao tác toán học
- Hệ cơ số 16 (Hexa Decimal System).
+ Cấu tạo
+ Tính chất
+ Khả năng thao tác toán học
- Hệ BCD: (Hệ 2 - 10)
+ Cấu tạo
+ Tính chất
+ Khả năng thao tác toán học
3
Câu 5. Phần tử lôgic “Phủ định”- NOT: Định nghĩa, hàm lôgic, ký hiệu, bảng trạng
thái, giản đồ thời gian, mạch điện thực hiện phần tử NOT.
Trả lời
-Định nghĩa.
- Hàm lôgic.
- Ký hiệu.
- Bảng trạng thái.
- Giản đồ thời gian.

- Mạch điện thực hiện phần tử NOT.
Câu 6. Phần tử lôgic “Và”-AND: Định nghĩa, hàm lôgic, ký hiệu, bảng trạng thái, giản
đồ thời gian, mạch điện thực hiện phần tử AND.
Trả lời
-Định nghĩa.
- Hàm lôgic.
- Ký hiệu.
- Bảng trạng thái.
- Giản đồ thời gian.
- Mạch điện thực hiện phần tử AND.
Câu 7. Phần tử lôgic “Hoặc”- OR: Định nghĩa, hàm lôgic, ký hiệu, bảng trạng thái,
giản đồ thời gian, mạch điện thực hiện phần tử OR.
Trả lời
-Định nghĩa.
- Hàm lôgic.
- Ký hiệu.
- Bảng trạng thái.
- Giản đồ thời gian.
- Mạch điện thực hiện phần tử OR.
Câu 8. Phần tử lôgic “Và -phủ định”- NAND: Định nghĩa, hàm lôgic, ký hiệu, bảng
trạng thái, giản đồ thời gian, mạch điện thực hiện phần tử NAND.
Trả lời
-Định nghĩa.
- Hàm lôgic.
- Ký hiệu.
- Bảng trạng thái.
- Giản đồ thời gian.
- Mạch điện thực hiện phần tử NAND.
Câu 9. Phần tử lôgic “Hoặc - phủ định”- NOR: Định nghĩa, hàm lôgic, ký hiệu, bảng
trạng thái, giản đồ thời gian, mạch điện thực hiện phần tử NOR.

4
Trả lời
-Định nghĩa.
- Hàm lôgic.
- Ký hiệu.
- Bảng trạng thái.
- Giản đồ thời gian.
- Mạch điện thực hiện phần tử NOR.
Câu 10. Phần tử lôgic tương đương (cùng dấu): Định nghĩa, hàm lôgic, ký hiệu, bảng
trạng thái, giản đồ thời gian, mạch điện thực hiện phần tử cùng dấu.
Trả lời
-Định nghĩa.
- Hàm lôgic.
- Ký hiệu.
- Bảng trạng thái.
- Giản đồ thời gian.
- Mạch điện thực hiện phần tử cùng dấu.
Câu 11. Phần tử khác dấu (cộng môđun 2): Định nghĩa, hàm lôgic, ký hiệu, bảng trạng
thái, giản đồ thời gian, mạch điện thực hiện phần tử khác dấu.
Trả lời
-Định nghĩa.
- Hàm lôgic.
- Ký hiệu.
- Bảng trạng thái.
- Giản đồ thời gian.
- Mạch điện thực hiện phần tử khác dấu.
Câu 12. Trigơ (Flip-Flop) là gì? Đặc điểm của Trigơ?
Trả lời
- Định nghĩa về Trigơ.
- Các đặc điểm cơ bản của Trigơ.

Câu 13. Trigơ R-S không đồng bộ: Sơ đồ mô phỏng, nguyên lý làm việc, bảng trạng
thái, bảng kích, phương trình đặc tính.
Trả lời
- Sơ đồ mô phỏng.
- Nguyên lý làm việc.
- Bảng trạng thái.
- Bảng kích.
- Phương trình đặc tính.
5
Câu 14. Trình bày về Trigơ J-K: Sơ đồ mô phỏng, nguyên lý làm việc, bảng trạng thái,
bảng kích, phương trình đặc tính.
Trả lời
- Sơ đồ mô phỏng.
- Nguyên lý làm việc.
- Bảng trạng thái.
- Bảng kích.
- Phương trình đặc tính.
Câu 15. Trình bày về Trigơ T: Sơ đồ mô phỏng, nguyên lý làm việc, bảng trạng thái,
bảng kích, phương trình đặc tính.
Trả lời
- Sơ đồ mô phỏng.
- Nguyên lý làm việc.
- Bảng trạng thái.
- Bảng kích.
- Phương trình đặc tính.
Câu 16. Trình bày về Trigơ D: Sơ đồ mô phỏng, nguyên lý làm việc, bảng trạng thái,
bảng kích, phương trình đặc tính.
Trả lời
- Sơ đồ mô phỏng.
- Nguyên lý làm việc.

- Bảng trạng thái.
- Bảng kích.
- Phương trình đặc tính.
Câu 17. Chức năng, bản chất của mạch chuyển đổi tín hiệu tương tự - số (ADC)? Cho
một ví dụ minh hoạ?
Trả lời
- Chức năng
- Bản chất của mạch chuyển đổi tín hiệu tương tự - số (ADC)
- Lấy ví dụ minh họa
Câu 18. Chức năng, bản chất của mạch chuyển đổi tín hiệu số - tương tự (DAC)? Cho
một ví dụ minh hoạ?
Trả lời
- Chức năng
- Bản chất của mạch chuyển đổi tín hiệu tương tự - số (ADC)
- Lấy ví dụ minh họa
6
x x y y
F
4.2. CÂU HỎI BÀI TẬP LOẠI 1 (3 ĐIỂM)
Câu 1. Cho các mạch lôgic có cấu trúc như hình 1 a) và b) với 2 đầu vào có các biến
lôgic x
1
và x
2
tác động, 1 đầu ra nhận được các hàm lôgic lần lượt là F
1
và F
2
.
a) Hãy tìm biểu thức của F

1
và F
2

dạng đầy đủ
b) Biến đổi các biểu thức đã tìm được
ở câu a) về dạng tối giản theo 2 cách:
dạng tổng của tích các biến và dạng
tích của tổng các biến, qua đó chứng
minh rằng F
1
= F
2
c) Tìm cấu trúc tương đương với cấu trúc hình 1 trong đó chỉ sử dụng 1 loại phần tử
NAND (hoặc chỉ 1 loại phần tử NOR) có 2 đầu vào.
Trả lời
a) Hãy tìm biểu thức của F
1
và F
2
ở dạng đầy đủ
-
))((
1
yxyxF ++=
-
)()(
2
yxyxF +=
b) Biến đổi các biểu thức đã tìm được ở câu a) về dạng tối giản theo 2 cách: dạng

tổng của tích các biến và dạng tích của tổng các biến, qua đó chứng minh rằng
F
1
= F
2.
-
21
))(( FyxyxyyyxyxxxyxyxF =+=+++=++=
(điều phải chứng minh)
c) Tìm cấu trúc tương đương với cấu trúc hình 1 trong đó chỉ sử dụng 1 loại phần
tử NAND (hoặc chỉ 1 loại phần tử NOR) có 2 đầu vào.
-
)()()()(
2
yxyxyxyxF =+=
-
)()())((
1
yxyxyxyxF +++=++=
Cấu trúc tương đương :
Câu 2. Một hàm lôgic 3 biến F(x
1
,x
2
,x
3
) gồm có 6 số hạng, ở dạng đầy đủ có biểu thức
sau:
321321321321321321321
),,( xxxxxxxxxxxxxxxxxxxxxF +++++=

a) Hãy thiết lập bảng trạng thái và viết bìa các nô cho hàm F
b) Tìm biểu thức tối giản của F nhờ quy tắc Cácnô
c) Xây dựng cấu trúc thực hiện F từ các phần tử NOR có 2 đầu vào.
7
Hình 1
Q
0
b)
F
1
x
y
F
2
x
y
F
x
2
x
2
x
3
x
3
Trả lời
a) Hãy thiết lập bảng trạng thái và viết bìa các nô cho hàm F
- Thiết lập bảng trạng thái :
x
1

X
2
X
3
F
0 0 0 1
0 0 1 1
0 1 0 1
0 1 1 0
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 0
- Bìa Cácnô.
b) Tìm biểu thức tối giản của F nhờ quy tắc Cácnô
32
xxF +=
c) Xây dựng cấu trúc thực hiện F từ các phần tử NOR có 2 đầu vào.
3232
xxxxF +=+=
Câu 3. Cho 2 mạch lôgic tổ
hợp có cấu trúc trên hình 2 a) và
b) với các biến đầu vào ký hiệu
là x và y để tổng hợp các hàm
trạng thái ra F
1
và F
2
tương ứng.
a) Viết biểu thức lôgic đầy đủ

của hàm F
1
và F
2
b) CMR khi tối giản F
1
và F
2
ta có F
1
= F
2
8
F
1
x
y
F
2
x
y
Hình 2
a) b)
x
2
x
3
x
1
F

000111100110111
101
F
1
yyxx
F
2
c) Tìm 1 dạng cấu trúc thứ 3 tương đương với cấu trúc hình 2 a) để thực hiện hàm F
1
chỉ từ các phần tử NAND 2 đầu vào. Tương tự tìm 1 cấu trúc chỉ gồm các phần tử NOR
tương đương với hình 2 b) để thực hiện hàm F
2
Trả lời
a) Viết biểu thức lôgic đầy đủ của hàm F
1
và F
2
-
)()(
1
yxyxF +=
-
))((
2
xyyxF +=
b) CMR khi tối giản F
1
và F
2
ta có F

1
= F
2
-
12
))(())(( FyxyxyyyxyxxxyxyxxyyxF =+=+++=++=+=
(điều phải CM)
c) Tìm 1 dạng cấu trúc thứ 3 tương đương với cấu trúc hình 2 a) để thực hiện hàm
F
1
chỉ từ các phần tử NAND 2 đầu vào. Tương tự tìm 1 cấu trúc chỉ gồm các phần
tử NOR tương đương với hình 2 b) để thực hiện hàm F
2.
-
))(()()()()(
1
yxyxyxyxyxyxF =+=+=
-
)()())(())(())((
2
yxyxyxyxyxyxxyyxF +++=++=++=+=
Câu 4. Cho 2 cấu trúc như hình 3 a) và b) dùng để thực hiện các hàm lôgic G
1
và G
2
với các biến lôgic đầu vào là A và B
a) Thiết lập biểu thức lôgic của G
1
và G
2

b) Đơn giản biểu thức đã thu được và tìm
mối quan hệ giữa G
1
và G
2
c) Tìm 2 cấu trúc tương đương với 2 cấu
trúc trên chỉ thực hiện các hàm G
1
(hoặc
G
2
) bằng các phần tử NAND (hoặc NOR)
có 2 đầu vào.
Trả lời
a) Viết biểu thức lôgic đầy đủ của hàm F
1
và F
2
-
)()(
1
BABAG +=
-
))((
2
ABBAG +=
b) Đơn giản biểu thức đã thu được và tìm mối quan hệ giữa G
1
và G
2

9
G
1
A
B
G
2
A
B
Hình 3
a) b)
G
BBAA
-
12
))(())(( GBABABBBABAAABABAABBAG =+=+++=++=+=
c) Tìm 2 cấu trúc tương đương với 2 cấu trúc trên chỉ thực hiện các hàm G
1
(hoặc
G
2
) bằng các phần tử NAND (hoặc NOR) có 2 đầu vào.
-
)()()()(
1
BABABABAG =+=
Câu 5. Cho hàm lôgic 3 biến có biểu thức như sau:
3231321321
),,( xxxxxxxxxxF ++=
a) Hãy thiết lập bảng trạng thái của F, từ đó xây dựng bìa Cácnô của F

b) Tối giản hoá hàm F bằng quy tắc Cácnô
c) Xây dựng mạch lôgic thực hiện hàm F chỉ dùng phần tử NAND có 2 đầu
vào.
Trả lời
a) Hãy thiết lập bảng trạng thái và viết bìa các nô cho hàm F
- Thiết lập bảng trạng thái :
321321321321321
32113221321
3231321321

.)() (
),,(
xxxxxxxxxxxxxxx
xxxxxxxxxxx
xxxxxxxxxxF
++++=
++++=
=++=
x
1
x
2
x
3
F
0 0 0 0
0 0 1 0
0 1 0 1
0 1 1 0
1 0 0 1

1 0 1 1
1 1 0 1
1 1 1 0
- Bìa Cácnô.
10
x
2
x
3
x
1
F
000111100000111
101
F
x
3
x
3
x
2
x
2
x
1
x
1
b) Tìm biểu thức tối giản của F nhờ quy tắc Cácnô
3221
xxxxF +=

c) Xây dựng cấu trúc thực hiện F từ các phần tử NAND có 2 đầu vào.
322132213221
. xxxxxxxxxxxxF =+=+=
Câu 6. Cho hàm lôgic 3 biến có biểu thức như sau:
3213231321
),,( xxxxxxxxxxF ++=
a) Hãy thiết lập bảng trạng thái của F, từ đó xây dựng bìa Cácnô của F
b) Tối giản hoá hàm F bằng quy tắc Cácnô
c) Xây dựng mạch lôgic thực hiện hàm F chỉ dùng phần tử NAND có 2 đầu vào.
Trả lời
a) Hãy thiết lập bảng trạng thái và viết bìa các nô cho hàm F
- Thiết lập bảng trạng thái :
321321321321321
32132113221
3213231321

)() (
),,(
xxxxxxxxxxxxxxx
xxxxxxxxxxx
xxxxxxxxxxF
++++=
++++=
++=
x
1
x
2
x
3

F
0 0 0 0
0 0 1 1
0 1 0 1
0 1 1 1
1 0 0 0
1 0 1 0
1 1 0 0
1 1 1 1
11
x
1
x
1
x
2
x
2
x
3
x
3
F
- Bìa Cácnô.
b) Tìm biểu thức tối giản của F nhờ quy tắc Cácnô
323121
xxxxxxF ++=
c) Xây dựng cấu trúc thực hiện F từ các phần tử NAND có 2 đầu vào.
)()).().((
)().().(


323121
323121
323121323121
xxxxxx
xxxxxx
xxxxxxxxxxxxF
=
=
++=++=
Câu 7. Cho hàm lôgic 3 biến có biểu thức như sau:
313221321
),,( xxxxxxxxxF ++=
a) Hãy thiết lập bảng trạng thái của F, từ đó xây dựng bìa Cácnô của F
b) Tối giản hoá hàm F bằng quy tắc Cácnô
c) Xây dựng mạch lôgic thực hiện hàm F chỉ dùng phần tử NAND có 2 đầu vào.
Trả lời
a) Hãy thiết lập bảng trạng thái và viết bìa các nô cho hàm F
- Thiết lập bảng trạng thái :
321321321321321321
322132113321
313221321

) (.).()(.
),,(
xxxxxxxxxxxxxxxxxx
xxxxxxxxxxxx
xxxxxxxxxF
+++++=
+++++=

++=
x
1
x
2
x
3
F
0 0 0 0
0 0 1 0
0 1 0 0
12
x
2
x
3
x
1
F
000111100011110
010
F
x
3
x
3
x
2
x
2

x
1
x
1
0 1 1 1
1 0 0 0
1 0 1 1
1 1 0 1
1 1 1 1
- Bìa Cácnô.
b) Tìm biểu thức tối giản của F nhờ quy tắc Cácnô
313221321
),,( xxxxxxxxxF ++=
c) Xây dựng cấu trúc thực hiện F từ các phần tử NAND có 2 đầu vào.
).(.).(.).(
).(.).(.).(
),,(
313221
313221
313221313221321
xxxxxx
xxxxxx
xxxxxxxxxxxxxxxF
=
=
++=++=

Câu 8. Cho các hàm lôgic 3 biến có biểu thức như sau:

31211

xxxxF +=
;
( )
( )
31211
. xxxxG ++=

3231212
xxxxxxF ++=
;
( )
( )
( )
2131212
xxxxxxG +++=
a) Chứng minh rằng F
1
và F
2
cùng biểu diễn 1 hàm F; G
1
và G
2
cùng biểu diễn 1 hàm G
b) Lập bảng trạng thái và bìa Cácnô của F và của G
c) Xây dựng cấu trúc thực hiện F
2
và cấu trúc thực hiện G
2
từ phần tử NAND có 2 đầu

vào.
Câu 9. Cho 2 hàm lôgic 3 biến có biểu thức như sau:
13
x
2
x
3
x
1
F
000111100001010
111

xzzyyxF
1
++=


xzzyyxF
2
++=

a) Tìm mối liên hệ giữa 2 hàm F
1
và F
2

b) Lập bảng trạng thái và bìa Cácnô của F
1
và của F

2
c) Xây dựng cấu trúc F
1
từ các phần tử NAND có 2 đầu vào, và cấu trúc thực hiện F
2
từ
các phần tử NOR 2 đầu vào.

Trả lời
a) Tìm mối liên hệ giữa 2 hàm F
1
và F
2

b) Lập bảng trạng thái và bìa Cácnô của F
1
và của F
2

Bảng trạng thái của F
1
và của F
2
x y z F
1
0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 1
1 0 0 0

1 0 1 1
1 1 0 1
1 1 1 1
Bìa Cácnô của F
1
và của F
2
c) Xây dựng cấu trúc F
1
từ các phần tử NAND có 2 đầu vào, và cấu trúc thực hiện F
2
từ
các phần tử NOR 2 đầu vào.

4.3. CÂU HỎI BÀI TẬP LOẠI 2 (4 ĐIỂM)
Câu 1. Thiết kế bộ đếm nhị phân thuận môđun 5 dùng trigơ J-K
Trả lời
Hoạt động của trigơ vạn năng J-K tuân theo bảng trạng thái như hình vẽ.

14
Hình 1: Sơ đồ mô phỏng
K
n
0
0
1
1
J
n


0
1
0
1
Q
n+1
Q
n
1
0

Hình 2: Bảng trạng thái
Trigơ
JK
J
K
Q
Q
C
Hình 3: Bảng chuyển tiếp.
0
1
1
1
1
0
0
0
00 01 11 10
0

1
K
n
J
n
Q
n
Q
n
0
0
1
1
Q
n+1

0
1
0
1
Hình 4: Bảng đầu vào kích.
K
n
-
-
1
0
J
n
0

1
-
-
Q
n+1
x y z F
2
0 0 0 1
0 0 1 1
0 1 0 1
0 1 1 0
1 0 0 1
1 0 1 0
1 1 0 0
1 1 1 0
yz
x
F
2
000111100110111
000
yz
x
F
1
000111100001010
111
Bộ đếm nhị phân mô đun 5 nó được xây dựng dựa trên cơ sở bộ đếm nhị phân 3
bit mô đun 8 sau khi đã loại 3 trạng thái dư, nhờ các mạch vòng hồi tiếp thích hợp mà
đến xung đếm thứ 5 sẽ đưa bộ đếm về trạng thái ban đầu (các đầu ra đều nhận trị "0").

Để số trạng thái dư là ít nhất, ta dùng 3 trigơ vạn năng JK để xây dựng bộ đếm
Dựa vào bảng đầu vào kích của trigơ JK hình 4 và bảng trạng thái hình 5 ta đưa ra đồ
hình chuyển trạng thái hình 7 minh họa quá trình hoạt động của các trigơ (với từng
trạng thái là các giá trị tương ứng của các đầu vào điều khiển J, K) trong bộ đếm bộ
đếm nhị phân mô đun 5.
Như đã biết trong bộ đếm tồn tại các mạch hồi tiếp với lượng vào là trạng thái ra của
các trigơ, lượng ra điều khiển các đầu vào JK nhờ đó quá trình làm việc của bộ đếm sẽ
tuân theo bảng trạng thái hình 5, giản đồ thời gian hình 6 .
Số
Trạng thái các
Trigơ đếm
Xung
vào
(2
2
)
Q
2
(2
1
)
Q
1
(2
0
)
Q
0
0
1

2
3
4
0
0
0
0
1
0
0
1
1
0
0
1
0
1
0
Từ bảng trạng thái hình 5 ta có đồ hình chuyển đổi trạng thái hình 7
15
1 2 3 4 5
t
1
0
1
0
1
0
1
0

Q
2
Q
1
Q
0
Xung
vào
t
t
t
Hình 6: Giản đồ thời gian minh hoạ
hoạt động bộ đếm nhị phân mô đun 5
Hình 5 : Bảng trạng thái của bộ đếm nhị
phân mô đun 5
Để xây dựng được các mạch hồi tiếp điều khiển sự hoạt động của các trigơ ta coi J
0
÷J
2
, K
0
÷K
0
là các hàm ra, Q
0
÷ Q
2
là các biến vào. Để tìm quan hệ giữa các hàm ra với
các biến vào đồng thời đưa chúng về dạng tối giản ta dùng phương pháp bìa các nô (có
tận dụng 3 trạng thái dư để tối giản hàm).


Trạng thái các trigơ đếm
Trạng thái các hàm đầu
vào kích của các trigơ
Hiện tại Tiếp theo
Q
2
Q
1
Q
0
Q’
2
Q’
1
Q’
0
J
2
K
2
J
1
K
1
J
0
K
0
0 0 0 0 0 0 1 0 _ 0 _ 1 _

1 0 0 1 0 1 0 0 _ 1 _ _ 1
2 0 1 0 0 1 1 0 _ _ 0 1 _
3 0 1 1 1 0 0 1 _ _ 1 _ 1
4 1 0 0 0 0 0 - 1 0 _ 0 _
Hình 8: Bảng trạng thái minh hoạ quá trình làm việc của bộ đếm
nhị phân mô đun 4 được xây dựng từ 3 trigơ vạn năng JK.
16
0 0 0
Q
2
Q
1
Q
0
1 1 1
Q
2
Q
1
Q
0
0 0 1
Q
2
Q
1
Q
0
1 1 0
Q

2
Q
1
Q
0
0 1 0
Q
2
Q
1
Q
0
1 0 1
Q
2
Q
1
Q
0
0 1 1
Q
2
Q
1
Q
0
1 0 0
Q
2
Q

1
Q
0
Hình 7: Đồ hình chuyển đổi trạng thái của
bộ đếm môđun 5.
Q
1
Q
0
Q
2
J
2
00011110000101-
xxx
012
.QQJ =
Q
1
Q
0
Q
2
K
2
000111100
11xxx
1
2
=K

Q
1
Q
0
Q
2
J
1
00011110001
10xxx
01
QJ =
Q
1
Q
0
Q
2
K
1
000111100 101-
xxx
01
QK =
J
0
K
0
Q
0

Q
0
C C
Q
1
Q
1
K
1
J
1
J
2
K
2
Q
2
Q
2
C
Q
0
Q
1
Q
2
Clock
+5V
+5V
Quan hệ của các hàm ra với các biến vào của các mạch hồi tiếp như sau:

20
QJ =
1
0
=K
01
QJ =
01
QK =
012
.QQJ =
1
2
=K
Từ các quan hệ trên ta đưa ra sơ đồ nguyên lý bộ đếm nhị phân đồng bộ 3 bit
môđun 5 dùng trigơ vạn năng J, K như hình vẽ sau:
Câu 2. Thiết kế bộ đếm nhị phân thuận môđun 5 dùng trigơ T
Trả lời
* Trigơ T đồng bộ :
* Số trigơ sử dụng xây dựng bộ đếm : 3 ( Số trạng thái dư : 3 ).
* Giản đồ thời gian, bảng trạng thái :
17
Q
1
Q
0
Q
2
J
0

0001111001
110xxx
20
QJ =
Q
2
K
0
000111100-11-1-
xxx
1
0
=K
Trig¬
T
Q

T
C

Q
n
Q
n+1
T
n
00001110111
0
a)
0110

Q
n
Q
n+1
T
n
c)
T
n
Q
n+1
0Q
n
1
b)
d)
Q
0
* Đồ hình chuyển đổi trạng thái của bộ đếm .
Hình 3: Đồ hình chuyển đổi trạng thái của bộ đếm 3 bit môđun 5.
* Bảng trạng thái tổng thể .
Xun
g
đếm
Trạng thái các trigơ đếm
Trạng thái các hàm
đầu vào kích
Hiện tại Tiếp theo
Q
2

Q
1
Q
0
Q’
2
Q’
1
Q’
0
T
2
T
1
T
0
0 0 0 0 0 0 1 0 0 1
1 0 0 1 0 1 0 0 1 1
2 0 1 0 0 1 1 0 0 1
3 0 1 1 1 0 0 1 1 1
4 1 0 0 0 0 0 1 0 0
Hình 4: Bảng trạng thái minh hoạ quá trình làm việc của bộ đếm
nhị phân mô đun 5 được xây dựng từ 3 trigơ đếm T.
* Xác định các hàm đầu vào kích.

18
0 0 0
Q
2
Q

1
Q
0
0 0 1
Q
2
Q
1
Q
0
0 1 0
Q
2
Q
1
Q
0
0 1 1
Q
2
Q
1
Q
0
1 0 1
Q
2
Q
1
Q

0
1 0 0
Q
2
Q
1
Q
0
1 1 1
Q
2
Q
1
Q
0
1 1 0
Q
2
Q
1
Q
0
C
Q
0
Q
1
Q
2
0

0
0
0
t
t
t
t
Hình 2: Giản đồ thời gian của bộ đếm mođun 5.
1 2 3 4 5
11110xxx
00
T
0
11 10
0
1
01
Q
1
Q
0
Q
2
T
0
= 1
2
01100xXx
00
T

1
11 10
0
1
01
Q
1
Q
0
Q
2
T
1
= Q
0
11110xxx
00
T
2
11 10
0
1
01
Q
1
Q
0
Q
2
T

2
= Q
2
.1
1
+ Q
1
.Q
0

* Xây dựng bộ đếm nhị phân đồng bộ dùng trigơ đếm T
Hình 5: Sơ đồ nguyên lý bộ đếm 3 bit mô đun 5 dùng trigơ T.
Câu 3. Thiết kế bộ đếm nhị phân thuận môđun 5 dùng trigơ R-S
Trả lời
Hoạt động của trigơ R-S tuân theo bảng trạng thái như hình vẽ.


* Số trigơ được sử dụng xây dựng bộ đếm : 3 ( Số trạng thái dư : 3 ).
* Giản đồ thời gian, bảng trạng thái :
19
T
0
Q
0
1
0
C
0
T
1

Q
1
1
1
C
1
T
2
Q
2
1
2
C
2
Xung nhịp C
Q
0
Q
1
Q
2
Hình a: Sơ đồ mô phỏng
Trigơ
R-S
R
S
Q
Q
R
n

0
0
1
1
S
n

0
1
0
1
Q
n+1
Q
n
1
0
x
Hình b: Bảng trạng thái
Hình c: Bảng chuyển tiếp.
0
1
1
1
x
x
0
0
00 01 11 10
0

1
R
n
, S
n
Q
n
Q
n
0
0
1
1
Q
n+1

0
1
0
1
Hình d: Bảng đầu vào kích.
R
n
-
0
1
0
S
n
0

1
0
-
Q
n+1
C
Q
0
Q
1
Q
2
0
0
0
0
t
t
t
t
Hình 2: Giản đồ thời gian của bộ đếm mođun 5.
1 2 3 4 5
`
* Đồ hình chuyển đổi trạng thái của bộ đếm .
Hình 3: Đồ hình chuyển đổi trạng thái của bộ đếm 3 bit môđun 5.
* Thành lập bảng trạng thái tổng thể.
X
Đ
Trạng thái các Trigơ đếm
Trạng thái hàm đầu vào

kích của các Trigơ
Hiện tại Tiếp theo
Q
2
Q
1
Q
0
Q’
2
Q’
1
Q’
0
R
2
S
2
R
1
S
1
R
0
S
0
0 0 0 0 0 0 1 _ 0 _ 0 0 1
1 0 0 1 0 1 0 _ 0 0 1 1 0
2 0 1 0 0 1 1 _ 0 0 _ 0 1
3 0 1 1 1 0 0 0 1 1 0 1 0

4 1 0 0 0 0 0 1 0 - 0 - 0
Hình 7: Bảng trạng thái minh hoạ quá trình làm việc của bộ đếm
nhị phân mô đun 5 được xây dựng từ 3 trigơ R – S
* Xác định các hàm đầu vào kích.
20
0 0 0
Hình 1: a)
Mạch mô
phỏng; b)
Bảng trạng
thái;
c)
Bảng chuyển
tiếp; d) Bảng
đầu vào kích
Q
2
Q
1
Q
0
0 0 1
Q
2
Q
1
Q
0
0 1 0
Q

2
Q
1
Q
0
0 1 1
Q
2
Q
1
Q
0
1 0 1
Q
2
Q
1
Q
0
1 0 0
Q
2
Q
1
Q
0
1 1 1
Q
2
Q

1
Q
0
1 1 0
Q
2
Q
1
Q
0
Q
1
Q
0
Q
2
R
2
000111100 0-
11xxx
22
QR =
Q
1
Q
0
Q
2
S
2

000111100001010
xxx
012
.QQS =
Clock
Q
2
Q
1
Q
0
C
Q
2
Q
2
S
2
R
2
R
1
S
1
Q
1
Q
1
CC
Q

0
Q
0
S
0
R
0
Quan hệ của các hàm ra với các biến vào của các mạch hồi tiếp như sau:
22
QR =
012
.QQS =
011
QQR =
011
.QQS =
0 0
R Q=
0 2 0
.S Q Q=
* Xây dựng bộ đếm nhị phân đồng bộ dùng trigơ đếm R-S
Câu 4. Thiết kế bộ đếm nhị phân thuận môđun 5 dùng trigơ D
Trả lời
Hoạt động của trigơ D tuân theo bảng trạng thái như hình vẽ.
* Trigơ trễ D ( Delay).
21
Trigơ
D
D
C

Q
Q
C
0
0
1
1
D
n

0
1
0
1
Q
n+1
Q
n
Q
n
0
1
Hình a: sơ đồ mô phỏng một trigơ D. Hình b: bảng trạng thái của trigơ D.
Hình c: Bảng chuyển tiếp.
0
1
0
1
1
1

0
0
00 01 11 10
0
1
C, D
n
Q
n
Q
n
0
0
1
1
Q
n+1

0
1
0
1
C
0
1
1
0
Hình d: Bảng đầu vào kích.
D
-

1
0
-
Q
n+1
Q
1
Q
0
Q
2
R
1
000111100-0101-
xxx
011
QQR =
Q
1
Q
0
Q
2
S
1
000111100010-0-
xxx
011
.QQS =
Q

1
Q
0
Q
2
R
0
00011110001101-
xxx
0 0
R Q=
Q
2
S
0
000111100100110
xxx
0 2 0
.S Q Q=
* Số trigơ được sử dụng xây dựng bộ đếm : 3 ( Số trạng thái dư : 3 ).
* Giản đồ thời gian, bảng trạng thái :
` * Đồ hình chuyển đổi trạng thái của bộ đếm .
Hình 3: Đồ hình chuyển đổi trạng thái của bộ đếm 3 bit môđun 5.
* Bảng trạng thái tổng thể .
Xun
g
đếm
Trạng thái các trigơ đếm
Trạng thái các hàm
đầu vào kích

Hiện tại Tiếp theo
Q
2
Q
1
Q
0
Q’
2
Q’
1
Q’
0
D
2
D
1
D
0
0 0 0 0 0 0 1 0 0 1
1 0 0 1 0 1 0 0 1 0
22
0 0 0
Hình 1: a)
Mạch mô
phỏng; b)
Bảng trạng
thái;
c)
Bảng chuyển

tiếp; d) Bảng
đầu vào kích
Q
2
Q
1
Q
0
0 0 1
Q
2
Q
1
Q
0
0 1 0
Q
2
Q
1
Q
0
0 1 1
Q
2
Q
1
Q
0
1 0 1

Q
2
Q
1
Q
0
1 0 0
Q
2
Q
1
Q
0
1 1 1
Q
2
Q
1
Q
0
1 1 0
Q
2
Q
1
Q
0
C
Q
0

Q
1
Q
2
0
0
0
0
t
t
t
t
Hình 2: Giản đồ thời gian của bộ đếm mođun 5.
1 2 3 4 5
D
0
Q
0
Q
0
C C
Q
1
Q
1
R
1
R
2
Q

2
Q
2
C
Q
0
Q
1
Q
2
Clock
2 0 1 0 0 1 1 0 1 1
3 0 1 1 1 0 0 1 0 0
4 1 0 0 0 0 0 0 0 0
Hình 4: Bảng trạng thái minh hoạ quá trình làm việc của bộ đếm
nhị phân mô đun 5 được xây dựng từ 3 trigơ đếm D.
* Xác định các hàm đầu vào kích.
Quan hệ của các hàm ra với các biến vào của các mạch hồi tiếp như sau:
012
.QQD =
1 0 1 0
1
. .D Q Q Q Q= +
0 2 0
.D Q Q=
* Xây dựng bộ đếm nhị phân đồng bộ dùng trigơ đếm D
23
Q
1
Q

0
Q
2
D
2
000111100001010
xxx
012
.QQD =
Q
1
Q
0
Q
2
D
1
000111100010110
xxx
1 0 1 0
1
. .D Q Q Q Q= +
Q
1
Q
0
Q
2
D
0

000111100100110
xxx
0 2 0
.D Q Q=
Câu 5. Xây dựng mạch giải mã nhị phân sang mã “1 từ 5” dùng các cổng NAND 2
đầu vào.
Trả lời
Trạng thái của bộ biến đổi mã nhị phân sang mã "1 từ 5" được cho trên bảng
M
2
2
X
2
2
1
X
1
2
0
X
0
y
0
y
1
y
2
y
3
y

4
0 0 0 0 1 0 0 0 0
1 0 0 1 0 1 0 0 0
2 0 1 0 0 0 1 0 0
3 0 1 1 0 0 0 1 0
4 1 0 0 0 0 0 0 1
Để xây dựng bộ giải mã ta xem y
0
, y
4
là các hàm ra, X
2
, X
0
là các biến vào. Để
tìm quan hệ của các hàm ra với các biến vào đồng thời đưa chúng về dạng tối giản ta
dùng phương pháp bìa Cácnô có tận dụng các trạng thái dư của bộ đếm. Kết quả như
sau:
24
X
1
X
0
X
2
y
0
000111100100010
xxx
0120

XXXy =
X
1
X
0
X
2
y
1
000111100010010
xxx
011
.XXy =
X
1
X
0
X
2
y
2
000111100000110
xxx
012
.XXy =
X
1
X
0
X

2
y
3
000111100011010
xxx
013
.XXy =
X
1
X
0
X
2
y
4
000111100000011
xxx
24
Xy =
x
0
x
0
x
1
x
1
x
2
x

2
y
0
y
1
y
2
y
3
y
4
Vậy ta có:
0120
XXXy =
011
.XXy =
012
.XXy =
013
.XXy =
24
Xy =
Để xây dựng mạch logic chỉ dùng các cổng NAND 2 đầu vào thực hiện các hàm
trên, chúng ta biến đổi các phương trình trên:
0120120
XXXXXXy ==
01011
XXXXy ==
01012
XXXXy ==

01013
XXXXy ==
224
XXy ==
* Mạch logic chỉ dùng các cổng NAND 2 đầu vào thực hiện các hàm trên
25

×