Tải bản đầy đủ (.pdf) (44 trang)

Bài giảng kĩ thuật số: Hệ tuần tự

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (1.05 MB, 44 trang )

GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

Chương 4: HỆ TUẦN TỰ
I. Giới thiệu:
Hệ tuần tự là hệ mà ngõ ra không chỉ phụ thuộc vào các ngõ
vào mà còn phụ thuộc vào 1 số ngõ ra được hồi tiếp trở thành ngõ
vào thông qua phần tử nhớ.
Ngõ vào
(INPUT)

CỔNG
LOGIC

Ngõ ra
(OUTPUT)

PHẦN TỬ NHỚ

Phần tử nhớ thường sử dụng là Flip_Flop.
Hệ tuần tự được chia thành 2 loại:
- Hệ tuần tự đồng bộ (Synchronous)
- Hệ tuần tự bất đồng bộ (Asynchronous)
NguyenTrongLuat

1

II. Mạch Chốt (Latch) và Flip-Flop (FF):
Latch (chốt): là mạch tuần tự mà nó liên tục xem xét các
ngõ vào và làm thay đổi các ngõ ra bất cứ thời điểm nào


không phụ thuộc vào xung clock.
Flip_Flop: là mạch tuần tự mà nó thường lấy mẫu các ngõ
vào và làm thay đổi các ngõ ra tại những thời điểm xác đònh
bởi xung clock.

Các mạch chốt và FF có 2 ngõ ra Q và Q. Hai ngõ ra này
có giá trò logic là bù của nhau.
NguyenTrongLuat

GV dạy: Lê Chí Thơng

2

1


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

1. Các mạch chốt:

Bảng hoạt động:

a. Chốt SR: có 2 loại
* Cổng NOR:

R
(reset)


Q

S R

Q+ Q+

0
0
1
1

Q Q
0 1
1 0
0 0

0
1
0
1

Cấm
sử dụng

Q+ là trạng thái kế tiếp của Q
Ký hiệu:
S
(set)

Q


S

Q

R

Q

NguyenTrongLuat

3

Bảng hoạt động:

* Cổng NAND:

S
(set)

Q

S R

Q+ Q+

0
0
1
1


1 1
1 0
0 1
Q Q

0
1
0
1

Cấm
sử dụng

Ký hiệu:
R
(reset)

NguyenTrongLuat

GV dạy: Lê Chí Thơng

Q

S

Q

R


Q

4

2


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

b. Chốt SR có ngõ vào cho phép:
S
(set)

Q

C
(enable)
R
(reset)
Bảng hoạt động:
C S R
0 X X
1 0 0
1 0 1
1 1 0
1 1 1

Q


Q+
Q
Q
0
1
1

Q+
Q
Q
1
0
1

Ký hiệu chốt SR có ngõ vào
cho phép tích cực cao:
S

Q

C
R

Q

NguyenTrongLuat

5


* Khảo sát giản đồ xung:
S
R
C
Q
(Cho Q ban đầu là 0)

Ký hiệu chốt SR có ngõ vào cho phép tích cực thấp:
S

Q

C
R

NguyenTrongLuat

GV dạy: Lê Chí Thơng

Q

C S R
1 X X
0 0 0
0 0 1
0 1 0
0 1 1

Q+
Q

Q
0
1
1

Q+
Q
Q
1
0
1
6

3


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

c. Chốt D:
D
(set)

Q

C
(enable)
Q


Ký hiệu chốt D:

Bảng hoạt động:

D

Q

C

D

C

Q

0
1
1

X
0
1

Q+
Q
0
1

Q+

Q
1
0

NguyenTrongLuat

7

2. Flip_Flop (FF):
Trạng thái kế tiếp của ngõ ra FF sẽ thay đổi theo ngõ vào và
trạng thái trước đó của ngõ ra tại thời điểm thay đổi của xung
clock (cạnh lên hoặc cạnh xuống)
X

Q

X

Q

CK

Q

CK

Q

Xung clock cạnh lên


Xung clock cạnh xuống

* Bảng đặc tính và phương trình đặc tính:
Biểu diễn mối quan hệ của ngõ ra kế tiếp Q+ phụ thuộc vào
các ngõ vào và trạng thái ngõ ra hiện tại Q.
* Bảng kích thích:
Biểu diễn giá trò của các ngõ vào cần phải có khi ta cần ngõ
ra chuyển từ trạng thái hiện tại Q sang trạng thái kế tiếp Q+.
NguyenTrongLuat

GV dạy: Lê Chí Thơng

8

4


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

a. Flip_Flop D (D-FF):
Q

D

Bảng hoạt động:
CK
0, 1,


CK

Q

D

Q

CK
0, 1,

CK

Q

D

Q+ Q+

X
0
1

Không thay đổi

D

Q+ Q+

X

0
1

Không thay đổi

0
1

0
1

1
0

1
0

NguyenTrongLuat

9

* Khảo sát giản đồ xung:
CK
D
Q
(Cho Q ban đầu là 0)

* Bảng đặc tính và
phương trình đặc tính:
D Q

0 0
0 1
1 0
1 1

Q+
0
0
1
1

Q+ = D
NguyenTrongLuat

GV dạy: Lê Chí Thơng

* Bảng kích thích:
Q Q+
0 0
0 1
1 0
1 1

D
0
1
0
1

D = Q+

10

5


GV son: Nguyn Trng Lut

H Bỏch Khoa TP.HCM

b. Flip_Flop T (T-FF):
T

Q

CK

Q

Baỷng hoaùt ủoọng:
T

Q+

0
1

Q
Q

* Baỷng ủaởc tớnh vaứ

phửụng trỡnh ủaởc tớnh:
T
0
0
1
1

Q
0
1
0
1

T

Q

CK

Q

* Baỷng kớch thớch:

Q+
0
1
1
0

Q Q+

0 0
0 1
1 0
1 1

Q+ = T Q

T
0
1
1
0

T = Q Q+

NguyenTrongLuat

11

c. Flip_Flop SR (SR-FF):
* Baỷng hoaùt ủoọng:
S
0
CK
0
1
R
Q
1
* Baỷng ủaởc tớnh vaứ pt ủaởc tớnh:

S

Q

S

R Q

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1

0
1
0
1

NguyenTrongLuat

GV dy: Lờ Chớ Thụng

Q+
0
1
0
0
1
1
X
X

R
0
1
0
1

Q+
Q
0
1
X


S

Q

CK
R

Q

* Baỷng kớch thớch:

Q+ = S + R Q
SR = 0

Q Q+
0 0
0 1
1 0
1 1

S
0
1
0
X

R
X
0

1
0
12

6


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

d. Flip_Flop JK (JK-FF):
* Bảng hoạt động:
J
0
CK
0
1
K
Q
1
* Bảng đặc tính và pt đặc tính:
J

Q

J

K


Q

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

Q+

0
1
0
0
1
1
1
0

K
0
1
0
1

Q+
Q
0
1
Q

J

Q

CK
K

Q


* Bảng kích thích:
Q Q+
0 0
0 1
1 0
1 1

Q+ = J Q + K Q

J
0
1
X
X

K
X
X
1
0

NguyenTrongLuat

13

e. Các ngõ vào bất đồng bộ:
- Các ngõ vào này sẽ làm thay đổi giá trò ngõ ra tức thời,
bất chấp xung clock.
- Có 2 ngõ vào vào bất đồng bộ: Preset (Pr) và Clear (Cl).
+ Khi ngõ vào Preset tích cực thì ngõ ra Q được set lên 1.

+ Khi ngõ vào Clear tích cực thì ngõ ra Q được xóa về 0.
J

Pr Q

J

Pr Q

CK

CK

K Cl Q

K Cl Q

+ Khi ngõ vào Preset và Clear không tích cực thì FF
mới hoạt động.
NguyenTrongLuat

GV dạy: Lê Chí Thơng

14

7


GV soạn: Nguyễn Trọng Luật


ĐH Bách Khoa TP.HCM

III. Bộ đếm (COUNTER):
1. Giới thiệu:
- Bộ đếm là hệ tuần tự có 1 ngõ vào xung clock và nhiều
ngõ ra. Ngõ ra của bộ đếm chính là ngõ ra của các Flip-Flop
cấu thành bộ đếm.
- Nội dung của bộ đếm tại 1 thời điểm gọi là trạng thái của
bộ đếm. Khi có xung clock vào bộ đếm sẽ chuyển trạng thái từ
1 trạng thái hiện tại chuyển sang 1 trạng thái kế tiếp. Cứ tiếp
tục như vậy sẽ tạo ra 1 vòng đếm khép kín.
- Giản đồ trạng thái của bộ đếm:
Q2Q1Q0
Biểu diễn các trạng thái có
000
trong vòng đếm và hướng chuyển
trạng thái của bộ đếm.
110
100
- Modulo của bộ đếm:
Là số các trạng thái khác nhau
trong vòng đếm: m ≤ 2n

010

NguyenTrongLuat

011
15


* Bộ đếm được chia thành 2 loại:
- Bộ đếm nối tiếp (bộ đếm bất đồng bộ): là bộ đếm mà
ngõ ra của FF trước sẽ là ngõ vào xung clock cho FF sau.
- Bộ đếm song song (bộ đếm đồng bộ): là bộ đếm mà
ngõ vào xung clock của các FF được nối chung với nhau.
2. Bộ đếm nối tiếp (Asynchronous Counter): :
- Bộ đếm nối tiếp thực hiện các vòng đếm lên hoặc xuống:
+ Đếm lên (Count Up): nội dung bộ đếm tăng thêm 1 khi
có xung clock.
+ Đếm xuống (Count Down): nội dung bộ đếm giảm đi 1
khi có xung clock.
- Bộ đếm được tạo từ các FF đếm 2, ghép nối tiếp với nhau.
1

T

Q

1

J

Q

CK
CK
NguyenTrongLuat

GV dạy: Lê Chí Thơng


Q

1

K

Q
16

8


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

a. Bộ đếm đầy đủ (m = 2n):
* Ghép Cki+1 = Qi
Q0(LSB)
1

CK

T

Q

CK

Q


1

Q1
T

Q

CK

Q

Q2(MSB)
1

T

Q

CK

Q

Khảo sát giản đồ xung: đây là bộ đếm lên (Count Up)
CK
Q0

(LSB)

Q1

Q2

(MSB)

NguyenTrongLuat

17

Q0(LSB)
1

J

Q

1

CK

CK
1

K

Q1
J

Q

Q2(MSB)

1

CK
Q

1

K

J

Q

CK
Q

1

K

Q

Khảo sát giản đồ xung: là bộ đếm xuống (Count Down)
CK
Q0

(LSB)

Q1
Q2


(MSB)
NguyenTrongLuat

GV dạy: Lê Chí Thơng

18

9


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

* Ghép Cki+1 = Qi
+ Bộ đếm xuống (Count Down):
Q0(LSB)
Q1
1

J

1

Q

CK

CK

1

J

1

Q

K

T

Q

CK

Q

1

J

Q

CK
1

Q

+ Bộ đếm lên (Count Up):

Q0(LSB)

CK

1

Q

CK

K

1

Q2(MSB)

K

Q

Q1

T

Q

CK

Q


Q2(MSB)
1

T

Q

CK

Q

NguyenTrongLuat

19

b. Bộ đếm không đầy đủ (m< 2n):
- Bộ đếm không đầy đủ thực hiện dựa vào bộ đếm đầy đủ.
Ta cần xác đònh trạng thái kế tiếp không mong muốn của vòng
đếm không đầy đủ.
- Dùng trạng thái này để tạo ra tín hiệu tác động tích cực vào
các ngõ vào bất đồng bộ Preset hoặc Clear để đưa bộ đếm trở về
trạng thái ban đầu (thường gọi là trạng thái reset).
Vd: Sử dụng T-FF có xung clock cạnh xuống và ngõ vào Preset,
Clear tích cực cao; thiết kế bộ đếm lên có m = 5 và bắt đầu từ giá trò 0.
Q2 Q1 Q0
0
0
0
0
1

1
1
1

0
0
1
1
0
0
1
1

NguyenTrongLuat

GV dạy: Lê Chí Thơng

0
1
0
1
0
1
0
1

Z
0
0
0

0
0
1
X
X

Ta gọi Z là tín hiệu để reset bộ đếm.
Z Q2Q1
Q0 00 01 11 10
0
X
1

X

1

Z = Q2 Q0
20

10


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

Q0(LSB)

Q1


0

1

Ck

CK

0

Pr Q

T

Q2(MSB)

Cl

1

T

Ck

Q

0

Pr Q


Cl

1

Pr Q

T

Ck

Q

Q

Cl

Z

Khảo sát giản đồ xung:
CK
Q0

(LSB)

Q1
Q2

(MSB)
NguyenTrongLuat


21

Q2 Q1 Q0

Vd: Sử dụng JK-FF có xung clock cạnh
xuống và ngõ vào Pr, Cl tích cực thấp; thiết kế
bộ đếm xuống có m = 5 và bắt đầu từ giá trò 2.
Tín hiệu reset:

Z = Q2 Q1

0
0
0
1
1
1

(tích cực thấp)

Q0(LSB)

Q1

1

1

J


Pr

1

K

Cl

0
1
0
1
0
1

Q2(MSB)

1

Q

1

CK

CK

1
0

0
1
1
0

J

Pr

Q

1

CK
Q

1

K

Cl

J

Pr

Q

CK
Q


1

K

Cl

Q

1
NguyenTrongLuat

GV dạy: Lê Chí Thơng

22

11


GV son: Nguyn Trng Lut

H Bỏch Khoa TP.HCM

IC 74393: 2 boọ ủeỏm leõn y 4 bit
1QA

3

1


1CK

1QB

4

2

1CLR

1QC

5

1QD

6

CLR

2QA

11

1
0
0

(MSB)


13

2CK

2QB

10

12

2CLR

2QC

9

2QD

8

(MSB)

CK

QD QC QB QA

X
0, 1,

0 0 0 0

NO CHANGE
COUNT UP

NguyenTrongLuat

23

IC 7490: gom 2 boọ ủeỏm - boọ ủeỏm 2 vaứ boọ ủeỏm 5 (ủeỏm leõn)
5

VCC

14

CKA

QA

12

1

CKB

QB

11

QC


9

(MSB)QD

8

2

MR1

3

MR2

6

MS1

7

MS2

NguyenTrongLuat

GV dy: Lờ Chớ Thụng

Reset/Set INPUT
MR1 MR2 MS1 MS2
1
1

X
1
X
1
X

1
1
X
X
1
X
1

0
X
1
1
X
X
1

X
0
1
X
1
1
X


OUTPUT
QD QC QB QA
0
0
1

0
0
0

0
0
0

0
0
1

Counting

GND
10

24

12


GV soạn: Nguyễn Trọng Luật


ĐH Bách Khoa TP.HCM

3. Bộ đếm song song (Synchronous Counter): :
- Là bộ đếm mà các FF đều sử dụng chung nguồn xung clock;
khi có xung clock vào thì tất cả các ngõ ra FF đều thay đổi.
- Khi thiết kế bộ đếm, chỉ quan tâm đến trạng thái hiện tại
và trạng thái kế tiếp của FF, mà không quan tâm đến dạng xung
clock (cạnh lên hoặc cạnh xuống).
- Có thể thiết kế bộ đếm có vòng đếm bất kỳ.
Bảng hàm kích thích:
* SR-FF

* D-FF:
D = Q+

Q Q+
0 0
0 1
1 0
1 1

* T-FF:
T = Q ⊕ Q+

S
0
1
0
X


* JK-FF

R
X
0
1
0

J
0
1
X
X

K
X
X
1
0

NguyenTrongLuat

25

* Các bước thiết kế:
- Từ phát biểu bài toán xác đònh số FF sử dụng và dãy đếm.
- Lập bảng chuyển trạng thái chỉ rõ mối quan hệ giữa trạng
thái hiện tại và trạng thái kế tiếp (dựa vào dãy đếm).
T/t hiện tại
Qn-1 … Q1 Q0

0

… 0

0

1

… 1

1

Q+

T/t kế tiếp
Các
+
+
n-1 … Q 1 Q 0 ngõ vào FF

- Tìm các giá trò ngõ vào FF cần phải có từ giá trò hiện tại Qi và
kế tiếp Q+i của từng FF (dựa vào bảng kích thích của FF).
- Tìm biểu thức rút gọn của mỗi ngõ vào FF phụ thuộc vào các
biến trạng thái hiện tại.
- Thực hiện sơ đồ logic.
NguyenTrongLuat

GV dạy: Lê Chí Thơng

26


13


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

a. Bộ đếm đầy đủ (m = 2n):
Vd: Sử dụng T-FF kích theo cạnh lên, thiết kế bộ đếm có
dãy đếm sau: Q2Q1Q0 : 010, 101, 110, 001, 000, 111, 100, 011, 010, …
T2 Q2Q1
Q0 00 01 11 10
0 1 1 1 1

T/t hiện tại T/t kế tiếp Các ngõ vào
Q2 Q1 Q0 Q+2 Q+1 Q+0 T2 T1 T0
0
0
0
0
1
1
1
1

0
0
1
1

0
0
1
1

0
1
0
1
0
1
0
1

1
0
1
0
0
1
0
1

T2 = Q0

1
0
0
1
1

1
0
0

1
0
1
0
1
0
1
0

1
0
1
0
1
0
1
0

1
0
1
0
1
1
1
1


1
1
1
1
1
1
1
1

1
T1 Q2Q1
Q0 00 01 11 10
0 1 1 1 1
1

1

T1 = Q0 + Q2

T0 = 1

NguyenTrongLuat

27

T2 = Q0

T1 = Q0 + Q2


T2

Q

T1

2

CK2

Q
2

NguyenTrongLuat

GV dạy: Lê Chí Thơng

T0 = 1

Q1

Q2(MSB)

CK

1

Q

Q0(LSB)


1

T0

1

CK1

Q
1

Q
0

CK0

Q
0

28

14


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

• Thiết kế mạch đếm song song dùng JK-FF

cạnh xuống, có Pr và Cl tích cực mau71c
thấp, tạo dãy đếm:
2 6 5 1 3 7 4 0 2 …

29

b. Bộ đếm không đầy đủ (m < 2n):
Các trạng thái có trong vòng đếm sẽ thiết kế như bộ đếm
đầy đủ; còn các trạng thái dư không có trong vòng đếm sẽ
giải quyết theo 2 cách sau:
* Cách 1: Các trạng thái dư có trạng thái kế tiếp là tùy đònh.
Khi thiết kế cần khởi động giá trò ban đầu cho bộ đếm; giá trò
này phải là 1 trong những trạng thái có trong vòng đếm.
Vd: Thiết kế bộ đếm dùng D-FF cạnh
lên, có ngõ vào Pr và Cl tích cực cao,
có giản đồ trạng thái sau:
Q2Q1Q0

000

110

100
010

NguyenTrongLuat

GV dạy: Lê Chí Thơng

011


T/t hiện tại T/t kế tiếp
Q2 Q1 Q0 Q+2 Q+1 Q+0
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1


1
X
1
0
0
X
0
X

0
X
1
1
1
X
0
X

0
X
0
0
1
X
0
X

D2 D1 D0
30


15


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

D1 = Q2 ⊕ Q1

D2 = Q2 Q0

D0 = Q2 Q1

Q2(MSB)

Q1

0
D2 Pr Q

0

D1 Pr Q

2

Ck2

CK


Cl

0

Q0(LSB)

D0 Pr Q

1

Ck1

Cl

Q
2

0

Q
1

Ck0

Cl

Q
0

RS

NguyenTrongLuat

31

* Cách 2: Cho các trạng thái dư không có vòng đếm có trạng
thái kế tiếp là 1 trong những trạng thái có trong vòng đếm.
Q2Q1Q0

001

000

110

101
111

100
010

011

T/t hiện tại T/t kế tiếp Các ngõ vào
Q2 Q1 Q0 Q+2 Q+1 Q+0 T2 T1 T0
0
0
0
0
1
1

1
1

0
0
1
1
0
0
1
1

NguyenTrongLuat

GV dạy: Lê Chí Thơng

0
1
0
1
0
1
0
1

1
1
1
0
0

1
0
1

0
1
1
1
1
0
0
0

0
0
0
0
1
0
0
0

1
1
1
0
1
0
1
0


0
1
0
0
1
0
1
1

0
1
0
1
1
1
0
1

T2 = Q0 + Q2 Q1
T1 = Q2 ⊕ (Q1 Q0)
T0 = Q0 + Q2 Q1
32

16


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM


* Phân tích bộ đếm song song:
- Từ sơ đồ logic của bộ đếm xác đònh hàm kích thích (biểu
thức của các ngõ vào của từng FF phụ thuộc vào các ngõ ra Qi)
- Lập bảng trạng thái: từ trạng thái hiện tại Qi và giá trò
ngõ vào ta xác đònh được trạng thái kế tiếp của FF Q+i.
- Từ bảng chuyển trạng thái xác đònh được giản đồ trạng
thái hoặc khảo sát giản đồ xung của bộ đếm.

JA

JB

QA

CK

CK
1

KA

QC

QB

QA

JC


QB

CK
KB

QA

QC

CK
QB

KC

1

QC

NguyenTrongLuat

JA = QB QC
KA = 1
JB = QA QC
KB = QA + QC
JC = QA
KC = 1

33

T/t hiện tại

Các ngõ vào
T/t kế tiếp
QA QB QC JA KA JB KB JC KC Q+A Q+B Q+C
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1


0
0
0
1
0
0
0
1

1
1
1
1
1
1
1
1

0
1
0
1
0
0
0
0

110

101


0
1
0
1
1
1
1
1

1
1
1
1
0
0
0
0

1
1
1
1
1
1
1
1

0
0

0
1
0
0
0
0

0
1
1
0
0
0
0
0

1
0
1
0
0
0
0
0

111

000
100


NguyenTrongLuat

GV dạy: Lê Chí Thơng

QAQBQC

011

010

001

34

17


GV son: Nguyn Trng Lut

H Bỏch Khoa TP.HCM

IC 74193: boọ ủeỏm leõn/xuoỏng ủong boọ 4 bit
15

A

QA

3


1

B

QB

2

10

C

QC

6

9

D

QD

7

11

(MSB)

LOAD


CLR LOAD UP DOWN
1
0
0
0
0

X
0
1
1
1

X
X
1
1

X
X
1
1

MODE
RESET (Asyn.)
PRESET (Asyn.)
No change
COUNT UP
COUNT DOWN


14

CLR

5

UP

CO

13

CO (Carry Out)

4

DOWN BO

12

BO (Borrow Out) = QDQCQBQADOWN

= QDQCQBQAUP

NguyenTrongLuat

35

NguyenTrongLuat


36

GV dy: Lờ Chớ Thụng

18


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

IV. Thanh ghi dòch (Shift Register):
Thanh ghi dòch là hệ tuần tự có khả năng lưu trữ và dòch
chuyển dữ liệu.

NguyenTrongLuat

37

1. Thanh ghi dòch nhập nối tiếp - xuất nối tiếp (SISO):
SERIN

CK

D

Q

D


Q

D

Q

Ck

Q

Ck

Q

Ck

Q

SEROUT

2. Thanh ghi dòch nhập nối tiếp – xuất song song (SIPO):
1Q

SERIN

CK
NguyenTrongLuat

GV dạy: Lê Chí Thơng


2Q

D

Q

D

Q

D

Q

Ck

Q

Ck

Q

Ck

Q

nQ

38


19


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

3. Thanh ghi dòch nhaäp song song - xuaát noái tieáp (PISO):
SERIN

X1 Y
X0
S

1D

X1 Y
X0
S

2D

X1 Y
X0
S

nD
SHIFT / LOAD
CLOCK


D

Q

Ck

Q

D

Q

Ck

Q

D

Q

Ck

Q

SEROUT

39

4. Thanh ghi dòch nhaäp song song - xuaát song song (PIPO):
SERIN


1D

2D

nD
SHIFT / LOAD
CLOCK

GV dạy: Lê Chí Thông

X1 Y
X0
S

X1 Y
X0
S

X1 Y
X0
S

D

Q

Ck

Q


D

Q

Ck

Q

D

Q

Ck

Q

1Q

2Q

nQ

40

20


GV soạn: Nguyễn Trọng Luật


ĐH Bách Khoa TP.HCM

IC 74164: SIPO – Thanh ghi dịch nối tiếp thaønh song song
1

A

QA

3

2

B

QB

4

QC

5

QD

6

QE

10


QF

11

QG
QH

9
8

CLR
CLK

Inputs
CLR CLK A B
0

X

X X

1

0

X X

QA
0


Outputs
QB …
0

QA0 QB0

QH
0
QH0

1

1

1

1

QAn

QGn

12

1

0 X

0


QAn

QGn

13

1

X 0

0

QAn

QGn

NguyenTrongLuat

41

NguyenTrongLuat

42

GV dạy: Lê Chí Thông

21



GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

IC 74165: PISO – Thanh ghi dịch song song thaønh nối tiếp
1
15
2
10
11
12
13
14
3
4
5
6

SH/LD
CLK INH
CLK
SER
A
B
C
D
E
F
QH
G

QH
H

Inputs
SH/LD CLKINH CLK SER A …
H
0
X
X
X
a… h

Output
QA QB … QH
a

b

h

X

X

QA0 QB0

QH0

0


1

X

1 QAn

QGn

1

0

0

X

0 QAn

QGn

1

1

X

X

QA0 QB0


QH0

1

0

1

0

X

7
9

NguyenTrongLuat

43

NguyenTrongLuat

44

GV dạy: Lê Chí Thông

22


GV son: Nguyn Trng Lut


H Bỏch Khoa TP.HCM

VI. Boọ ủeỏm thanh ghi dũch (Shift Register Counter):
1. Boọ ủeỏm voứng (Ring Counter):
Q2
Q1
D2

Pr

Q

D1

Ck

CK

Q

RS

D0

Q

2

Q0
Q


1

Ck

Cl

2

0

Ck

Q

Cl

1

CK
Q2
Q1
Q0

Q
0

Clock

Q2 Q1 Q0


1
2
3

1 0 0
0 1 0
0 0 1

NguyenTrongLuat

45

2. Boọ ủeỏm voứng xoaộn (Twisted-ring Counter): boọ ủeỏm Johnson
Q2
Q1
Q0
D2

Q

D1

2

CK

Ck

RS

CK
Q2
Q1
Q0
NguyenTrongLuat

GV dy: Lờ Chớ Thụng

Cl

Q
2

D0

Q

Q

1

Ck

Cl

0

Ck

Q


Cl

1

Q
0

Clock
1
2
3
4
5
6

Q2 Q1 Q0
0
1
1
1
0
0

0
0
1
1
1
0


0
0
0
1
1
1
46

23


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

VI. Phân tích Hệ tuần tự:
Hệ tuần tự được chia thành 2 lọai tùy thuộc vào tính
chất của ngõ ra.
1. Kiểu MEALY:
NGÕ VÀO

HỆ TỔ HP

X1
X2

Z1
Z2


Xn

NGÕ RA

Zm

Q1
Q2

Qk

Q+1

D1

Q+2

D2

Q+k

Dk

Clock

Trạng thái kế tiếp = F (trạng thái hiện tại Qi và các ngõ vào Xj)
Giá trò ngõ ra = G (trạng thái hiện tại Qi và các ngõ vào Xj)
NguyenTrongLuat

47


Z

X
DA

QA

* P/trình ngõ ra:
CK

QA

DB

QB

Z = X (QA + QB)

* P/t ngõ vào FF:
DA = X QA + X QB

CK

QB

DB = X QA

CK
NguyenTrongLuat


GV dạy: Lê Chí Thơng

48

24


GV soạn: Nguyễn Trọng Luật

ĐH Bách Khoa TP.HCM

Ngõ vào T/t hiện tại Ngõ ra T/t kế tiếp
QA QB
X
Z
Q+A Q+B

Bảng trạng thái:
Z = X (QA + QB)

0
0
0
0
1
1
1
1


DA = X QA + X QB = Q+A
DB = X QA = Q+B

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
1
1
1
0
0
0
0


T/t hiện tại Tt kế tiếp (Q+A Q+B)
QA QB
X=0 X=1
0
0
1
1

0
1
0
1

00
00
00
00

0
0
0
0
0
1
1
1

0
0
0

0
1
1
0
0

Ngõ ra (Z)
X=0 X=1

01
11
10
10

0
1
1
1

0
0
0
0

NguyenTrongLuat

49

T/t hiện tại Tt kế tiếp (Q+A Q+B)
QA QB

X=0 X=1
A
B
C
D

0
0
1
1

0
1
0
1

A
A
A
A

00
00
00
00

B01
D1 1
C10
C10


Giản đồ trạng thái (state graph):
1/0
X/Z = 0/0
00
01
0/1
0/1

1/0
NguyenTrongLuat

GV dạy: Lê Chí Thơng

10

0/1
1/0

Ngõ ra (Z)
X=0 X=1
0
1
1
1

0/0

1/0
11


1/0
A
0/1

1/0

0
0
0
0

C

0/1
0/1
1/0

B
1/0
D
50

25


×