Tải bản đầy đủ (.docx) (1 trang)

code demux1_4 khối trừ 1 kênh 4 kênh

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (50.14 KB, 1 trang )



























library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity demux1 is


Port ( I : in STD_LOGIC;
S0 : in STD_LOGIC;
S1 : in STD_LOGIC;
Y : out STD_LOGIC_VECTOR (3 downto 0));
end demux1;
architecture Behavioral of demux1 is
begin
process(I,S0,S1)
begin
if(S1='0' and S0='0')then
Y<="0001";
elsif(S1='0' and S0='1')then
Y<="0010";
elsif(S1='1' and S0='0')then
Y<="0100";
elsif(S1='1' and S0='1')then
Y<="1000";
end if;
end process;
end Behavioral;- See more at: />
demux14.html#sthash.zGSH4lHe.dpuf



×