Tải bản đầy đủ (.doc) (24 trang)

BỘ đề THI điện tử số học VIỆN CÔNG NGHỆ bưu CHÍNH VIỄN THÔNG

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (334.52 KB, 24 trang )

BỘ ĐỀ THI ĐIỆN TỬ SỐ - HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH
VIỄN THÔNG
HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG

ĐỀ THI KẾT THÚC MÔN HỌC

KHOA KỸ THUẬT ĐIỆN TỬ 1
BÔ MÔN KỸ THUẬT ĐIỆN TỬ

MÔN: ĐIỆN TỬ SỐ

Lớp :
D06CNTT
Hệ đào tạo:
Đại học
Thời gian thi: 70 phút
ĐỀ SỐ 1
Sinh viên làm bài vào giấy thi
Phần 1 - Trắc nghiệm – 4 điểm (0.2 điếm/ 1câu): Chọn một đáp án trong các câu sau:
1/ Thời gian truy nhập của 1 RAM là 10 ns, thời gian tối thiểu phải mất đi giữa hai thao tác đọc là
bao nhiêu ?
a 10 ns

b 20 ns

c

30 ns

d


40 ns

2/ Giả sử trạng thái ban đầu Q0Q1Q2 là 000, sau 3 xung Clock thì trạng thái lối ra là bao nhiêu?

a

111

b 011

c 110

d 001

3/ Để xây dựng bộ cộng nhị phân 4 bit theo phương pháp song song thì phải thực hiện:

a

CV1 nối với CR3 , CR0 nối với CV1,CR1 nối với CV2,CR2 nối với CV3

b

CV0 = ‘0’, CR0 nối với CV1,CR1 nối với CV2,CR2 nối với CV3.

c

CV0 =’1’, CR0 nối với CV1,CR1 nối với CV2,CR2 nối với CV3

d


Không trường hợp nào đúng.

4/ Rút gọn :
a AB + C

F (A, B, C) = S (0, 2, 4, 6,7)
b AB + C

c AB + C

5/ Nếu bộ tạo bit chẵn/ lẻ phát ra chỉ thị parity chẵn thì mẫu dữ liệu gồm
Page 1

d AB + C


a lẻ các bit ‘0’

b chẵn các bit ‘0’

c lẻ các bit ‘1’

d chẵn các bit ‘1’

6/ Cho biết dạng sóng của Q1của mạch điện trong hình vẽ?

a Hình (a).

b


Hình (b).

c Hình (c).

d Hình (d)

7/ Trong mạch đa hài đợi như hình vẽ, cho R = 50kΩ,
C = 2,2μF, tính độ rộng xung ra của mạch:
a T = 1,11 ms

b T = 12,1 ms

c T = 11,2 ms

d T = 121 ms

8/ Mạch giải mã 7 đoạn có mấy đầu vào và mấy đầu ra?
a

3 vào và 7 ra

b 2 vào và 7 ra

c 4 vào và 7 ra

d 4 vào và 5 ra

9/ Một trigơ JK ở chế độ lật. Nếu tần số Clock của nó là 2000
hz thì tần số tại lối ra là
a 4000 hz


b 4000 hz

c 500 hz

d 1000 hz

10/ Đầu ra của cổng OR ở mức cao:
a Mọi lúc.

b Khi có bất kỳ lối vào c Khi tất cả lối vào ở d Khi có bất kỳ lối vào
nào ở mức cao.
mức cao.
nào ở mức thấp.

11/ Mạch hợp kênh 15 đường dữ liệu cần bao nhiêu đường địa chỉ?
a 5 đường

b 3 đường

c

6 đường

d 4 đường

12/ Thời gian truy nhập của bộ nhớ lưỡng cực so với bộ nhớ MOS là
a lâu hơn

b bằng nhau


c nhanh hơn

d Không có trường hợp nào đúng.

13/ Đồ hình trạng thái của mạch trong hình vẽ là hình nào?

Page 2


a Hình (a).

b Hình (b).

14/ IC 7483 là bộ cộng 2 số nhị phân 4 bit, mạch trong hình vẽ có chức năng gì?
B''''3210 B

Mạch cộng 2 số nhị phân 4 bit

b

Mạch nhân 2 số nhị phân 4 bit

c

Mạch cộng 2 số nhị phân 4 bit theo bù 1

A 3 A2 A 1 A0

d


Mạch cộng 2 số nhị phân 4 bit theo bù 2

Co

B3

B2

B1

7483

B0
Ci

S3

a AB + C

B
M

a

15/ Rút gọn :

B

S2


S1

S0

AB + BCD + A C + BC
b AB + C

c AB + C + D

d AB + C + D

16/ Đặc điểm nổi bật nhất của mạch dao động đa hài dùng thạch anh là gì?
a

Biên độ tín hiệu lối ra ổn định

b

Tần số lối ra có thể điều chỉnh được

c

Tần số tín hiệu lối ra ổn định

d

Biên độ lối ra có thể điều chỉnh được

17/ Cần bao nhiêu chu kỳ xung clock đầu vào để phát ra một chu kỳ xung tại lối ra có trọng số lớn

nhất (MSB) của bộ đếm nối tiếp 4 bit
a 4

b8

c 16

d 32

18/ Số nhị phân A = 1000 và B = 0111, sau khi so sánh hai số nhị phân thu được kết quả là:
a

A>B

b

B>A

c A
19/ Mạch điện sau hoạt động như thế nào nếu như
lối vào E ở mức thấp?
a

Mạch trở thành cổng NAND hai lối vào

b

Trạng thái lối ra không theo logic cơ bản nào


c

Mạch trở thành cổng NOR hai lối vào
Page 3

d A=B


d

Mạch trở thành cổng AND hai lối vào

20/ Bộ ghi dịch dùng để dịch trái dữ liệu vào nối tiếp thì luồng bit dữ liệu chuyển động từ
a

Không có trường hợp nào ở trên.

b

Từ phải qua trái.

c

Từ trái qua phải.

d

Từ phải qua trái sau đó từ trái qua phải.

Phần 2 – Bài tập – 6 điểm

Câu 1. (2 điểm): Sử dụng IC 7485 để xây dựng bộ so sánh 8 bit (sơ đồ khối, giải thích)?
Câu 2. (4 điểm): Thiết kế bộ đếm nghịch, đồng bộ, mod 6, mã trạng thái trong là mã nhị phân.

TR ƯỞNG BỘ MÔN

GIÁO VIÊN RA ĐỀ

Đặng Hoài Bắc

Nguyễn Hồng Hoa

HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG

KHOA KỸ THUẬT ĐIỆN TỬ 1
BÔ MÔN KỸ THUẬT ĐIỆN TỬ

ĐỀ THI KẾT THÚC MÔN HỌC
MÔN: ĐIỆN TỬ SỐ

Lớp :
D06CNTT
Hệ đào tạo:
Đại học
Thời gian thi: 70 phút
Page 4


ĐỀ SỐ 2
Sinh viên làm bài vào giấy thi
Phần 1 - Trắc nghiệm – 4 điểm (0.2 điếm/ 1câu): Chọn một đáp án trong các câu sau:

1/ Nếu từ dữ liệu 8-bit có mẫu bit là 0010 1101, hệ thống parity lẻ:
a

không yêu cầu bit chẵn/ lẻ.

b

không thể sử dụng từ dữ liệu này.

c

yêu cầu bit chẵn/ lẻ ở mức logic thấp.

d

yêu cầu bit chẵn/ lẻ ở mức logic cao.

2/ Cho biết đây là bộ đếm Mod mấy?

a

Mod 2.

b Mod 3.

c Mod 4.

d Mod 5.

3/ Mạch đa hài đợi là gì?

a

Là mạch phát xung điều hoà

b

Là mạch dao động đa hài có chân điều khiển

c

Là mạch phát xung vuông

d

Là mạch dao động đa hài có một trạng thái ổn định và một trạng thái tạm ổn định

4/ Cấu tạo của một ô nhớ DRAM gồm có
a

1 transistor trường MOS và 1 diode

b

1 transistor trường MOS và 1 tụ điện

c

1 transistor lưỡng cực và 1 tụ điện

d


1 transistor trường MOS và 1 trigơ

5/ Nếu như bộ đếm được xoá, sau đó đầu ra Q (BIT 4) được nối với CLEAR (xoá) của bộ đếm thì:
a

Bộ đếm sẽ đếm đến số thứ 8, sau đó sẽ reset (xoá) lại.

b

Bộ đếm sẽ đếm đến số thứ 8, sau đó sẽ preset (lập) lại

c

Bộ đếm sẽ không hoạt động.

d

Tất cả các đầu ra của bộ đếm sẽ trùng pha.

6/ Mạch điện trong hình vẽ có chức năng gì?

Page 5


a

Mạch bán tổng.

b Mạch bán hiệu.


c Mạch hiệu toàn phần.

d Mạch tổng toàn phần.

7/ Cổng XOR tạo ra đầu ra với mức logic thấp:
a

Không lúc nào cả

b

Với điều kiện là trạng thái lối vào khác nhau

c

Mọi lúc

d

Với điều kiện là trạng thái lối vào giống nhau.

8/ Giả sử trạng thái ban đầu Q0Q1Q2 là 100, sau 2 xung Clock thì trạng thái lối ra là bao nhiêu?

a 010

b 100

c


001

d 000

9/ Cho LED 7 đoạn K chung, muốn thanh nào sáng thì Anốt của thanh đó có mức logic gì?
a

Mức logic 0

10/ Rút gọn:
a AB + BC

b Mức 0 và mức 1

c Không ở mức nào cả

d Mức logic 1

c AC + BC

d AB + AC + BC

A BC . A B + BC + C A
b AB + AC

11/ Dữ liệu nạp vào bộ ghi dịch có thể:
a

chỉ là kiểu dữ liệu thay đổi luân phiên.


b

Là bất kỳ kiểu dữ liệu nào.

c

chỉ là kiểu dữ liệu ở mức cao.

d

chỉ là kiểu dữ liệu ở mức thấp

12/ Dựa trên bộ so sánh trên vẽ, các lối ra:
a

có giá trị 0, 0 và 0

b

sẽ không thể hiện chức năng nếu không có các đèn LED

c

có giá trị 1, 1 và 1

d

có giá trị 0, 1 và 0

13/ Cho bộ nhớ có dung lượng là 32k x 8, số đường địa chỉ và

đường vào/ra là bao nhiêu?
Page 6


a 5 và 8

b 15 và 4.

c 5 và 4.

d 15 và 8

14/ Đồ hình trạng thái của mạch là hình nào?

a Hình (a).

b Hình (b).

15/ Chức năng của diode D3 trong sơ đồ là gì?
a Dịch mức điện áp làm cho Q3 và Q4 không
bao giờ cùng đóng hoặc cùng mở
b

Chống nhiễu lối ra

c

Cách ly transistor Q3 và Q4

d


Cách ly Q4 khỏi mạch ngoài nối vào đầu ra f

16/ IC 7483 là bộ cộng 2 số nhị phân (số A và B) 4
bit, mạch điện sau là mạch cộng trừ 2 số theo bù 1, mạch có chức năng gì khi M = 0 và M = 1:
B''''3210 B

a

M = 0 → (A + B);M = 1 → (A − B)

b

Cả hai trường hợp trên đều đúng.

c

M = 0 → (A − B);M = 1 → (A + B)

A 3 A2 A 1 A0

d

Không thực hiện được phép tính.

Co

B

B

M

B3

B2

B1

7483

B0
Ci

S3

S2

S1

S0

17/ Một bộ đếm nhị phân 5 bit thì tần số tại lối ra của bit có trọng số lớn nhất so với tần số xung
nhịp
a nhỏ hơn 64 lần

b nhỏ hơn 8 lần

c nhỏ hơn 16 lần

18 Trong mạch đa hài, nếu không có điện trở R1 thì

Page 7

d nhỏ hơn 32 lần


a

Xung lối ra là xung vuông có độ lấp đầy là 50%

b

Không có tín hiệu lối ra

c

Mạch vẫn phát xung và tần số lối ra chỉ phụ thuộc vào giá trị của R2 và C

d

Mạch vẫn phát xung nhưng tần số rất cao

19/ Rút gọn :
a BC + ABD

F (A, B, C, D) = S (0, 1, 8, 9, 10)
b BC + D

c BC + ABD

d BC + ABD


20/ Nếu E = 1 thì mạch điện sau có chức năng gì:
a

Bộ hợp kênh 2 lối vào.

b

Bộ mã hoá 2 lối vào.

c

Bộ phân kênh 2 lối vào.

d

Bộ chọn địa chỉ nhị phân 2 lối vào.

Phần 2 – Bài tập – 6 điểm
Câu 21. (2 điểm): Sử dụng IC 7483 để xây dựng bộ c ộng 16 bit (sơ đồ khối, giải thích)?
Câu 22. (4 điểm): Thiết kế bộ đếm thuận, đồng bộ, mod 8, mã trạng thái trong là mã Gray.

TR ƯỞNG BỘ MÔN

GIÁO VIÊN RA ĐỀ

Đặng Hoài Bắc

Nguyễn Hồng Hoa


HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG

KHOA KỸ THUẬT ĐIỆN TỬ 1
BÔ MÔN KỸ THUẬT ĐIỆN TỬ

Lớp :

ĐỀ THI KẾT THÚC MÔN HỌC
MÔN: ĐIỆN TỬ SỐ

D06CNTT
Page 8


Hệ đào tạo:
Đại học
Thời gian thi: 70 phút
ĐỀ SỐ 3
Sinh viên làm bài vào giấy thi
Phần 1 - Trắc nghiệm – 4 điểm (0.2 điếm/ 1câu): Chọn một đáp án trong các câu sau:
1/ Đầu ra của cổng NOR ở mức thấp:
a Mọi lúc.

b Khi có bất kỳ lối vào c Khi tất cả lối vào ở d Khi có bất kỳ lối vào
nào ở mức cao.
mức cao.
nào ở mức thấp.

2/ Một ALU có chứa:
a Một khối số học


b Một khối so sánh

c Một khối logic

d Một khối số học và
một khối logic.

c Mod 8, đếm lùi.

d Mod 7, đếm lùi.

3/ Cho biết đây là bộ đếm Mod mấy?

a Mod 8, đếm tiến.

b Mod 7, đếm tiến.

4/ Trong mạch đa hài, cặp diode có chức năng gì?
a

Để hệ số lấp đầy bằng (1/4).

b

Để hệ số lấp đầy bằng 1.

c

Để hệ số lấp đầy bằng (1/2).


d

Để hệ số lấp đầy bằng 2.

5/ Bộ ghi dịch dùng để dịch phải dữ liệu vào nối tiếp
thì luồng bit dữ liệu chuyển động từ
a

Từ trái qua phải.

b

Từ phải qua trái sau đó từ trái qua phải

c

Không có trường hợp nào ở trên.

d Từ phải qua trái
6/ Nếu A là đường địa chỉ, K1 và K0 là đường dữ liệu thì mạch điện sau có chức năng gì:
a

Bộ hợp kênh 2 lối vào.

b

Bộ chọn địa chỉ nhị phân 2 lối vào

c


Bộ mã hoá 2 lối vào.

d

Bộ phân kênh 2 lối vào.

7/ Rút gọn :
a AB + C

AB + BCD + A C + BC
b AB + C

c AB + C + D

8/ IC 7483 là bộ cộng 2 số nhị phân 4 bit, mạch điện sau có chức năng gì?
Page 9

d AB + C + D


a

Mạch cộng 2 số nhị phân 4 bit theo bù 1.

b

Mạch cộng 2 số nhị phân 4 bit.

c


Mạch nhân 2 số nhị phân 4 bit

d

Mạch cộng 2 số nhị phân 4 bit theo bù 2.

9/ Cho biết dạng sóng của Q1 và Q0 của mạch điện sau:

a Hình (a).

b

Hình (b).

c Hình (c).

d Hình (d)

10/ Thời gian truy nhập của các chip ROM hiện nay so với các chip RAM là
a lâu hơn

b bằng nhau

c nhanh hơn

d Không có trường hợp nào đúng.

11/ Nếu cấp một xung clock vào bộ đếm nối tiếp thì:
a


Cho phép một bộ đếm nối tiếp chạy trong chế độ không đồng bộ.

b

Thay đổi lần lượt các chế độ hoạt động của bộ đếm nối tiếp.

c

Xác định số đếm lớn nhất của bộ đếm nối tiếp.

d

Chuyển một bộ đếm nối tiếp thành một bộ đếm song song.

12/ Rút gọn :
a AB + C

F (A, B, C) = S (0, 2, 4, 6,7)
b AB + C

c AB + C

13/ Trong mạch như hình vẽ, nếu đầu vào 6 ở mức thấp. Dẫn đến:
Page 10

d AB + C


a


đầu ra BCD có mức logic là 1001.

b

đầu ra BCD có mức logic là 0110.

c

không có đầu ra nào ở mức logic thấp.

d

không có đầu ra nào ở mức logic cao.

14/ Tần số đầu vào của một bộ đếm không đồng bộ 4 bit là 1MHz. Vậy tần số tại đầu ra tại lối ra có
trọng số lớn nhất (MSB) là bao nhiêu?
a 62,5 KHz.

b 125 KHz.

c 1000 KHz.

d 500 KHz.

15/ Tần số của mạch dao động đa hài thạch anh phụ thuộc vào
a R có trong mạch

b Tinh thể thạch anh


c R và C có trong d C có trong mạch
mạch

16/ Nếu bộ tạo bit chẵn lẻ phát ra chỉ thị parity lẻ thì mẫu dữ liệu gồm:
a

một số lẻ các bit ‘1’

b

một số chẵn các bit ‘1’

c

một số chẵn các bit ‘0’

d

một số lẻ các bit ‘0’

17/ Cho chip nhớ RAM có dung lượng 16 k x 8 muốn mở rộng dung lượng lên thành 32 k x 8 thì
cần mấy chip nhớ 16 k x 8 ?
a

2 chip.

b

3 chip.


c 4 chip.

d 5 chip.

18/ Giả sử trạng thái ban đầu Q0Q1Q2 là 111, sau 3 xung Clock thì trạng thái lối ra là bao nhiêu?

a

110

b 011

c 001

19/ Mạch điện được biểu diễn trong sơ đồ hoạt động
như thế nào nếu như lối vào E ở mức logic cao?
a

Mạch trở thành cổng AND hai lối vào
Page 11

d 000


b

Mạch trở thành cổng NOR hai lối vào

c


Mạch trở thành cổng NAND hai lối vào

d

Trạng thái lối ra không theo mức logic cơ bản nào

20/ Số nhị phân A = 1101 và B = 1110, sau khi so sánh hai số nhị phân thu được kết quả là:
a A>B

b

B>A

c A
d A=B

Phần 2 – Bài tập – 6 điểm
Câu 21. (2 điểm): Sử dụng IC 7485 để xây dựng bộ so sánh 8 bit (sơ đồ khối, giải thích)?
Câu 22. (4 điểm): Thiết kế bộ đếm nghịch, đồng bộ, mod 6, mã trạng thái trong là mã nhị phân.
TR ƯỞNG BỘ MÔN

GIÁO VIÊN RA ĐỀ

Đặng Hoài Bắc

Nguyễn Hồng Hoa

HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG


KHOA KỸ THUẬT ĐIỆN TỬ 1
BÔ MÔN KỸ THUẬT ĐIỆN TỬ

Lớp :
Hệ đào tạo:
Page 12

ĐỀ THI KẾT THÚC MÔN HỌC
MÔN: ĐIỆN TỬ SỐ

D06CNTT
Đại học


Thời gian thi: 70 phút
ĐỀ SỐ 4
Sinh viên làm bài vào giấy thi
Phần 1 - Trắc nghiệm – 4 điểm (0.2 điếm/ 1câu): Chọn một đáp án trong các câu sau:
1/ Một dạng sóng sin có thể được biến đổi sang dạng sóng hình vuông bằng cách sử dụng một:
a

bộ dao động đa hài.

b

bộ dao động đa hài dùng IC 555.

c

bộ dao động đa hài đợi.


d

trigơ Schmitt.

2/ Hệ số chia tần số cho một bộ đếm không đồng bộ 5 bit lần lượt là:
a

2, 4, 8, 16 và 32

b

1, 2, 4 , 8 và 16.

c

1, 2, 4, 16 và 32.

d

Tất cả các trường hợp trên, phụ thuộc vào tần số xung clock.

3/ A = 1001, B = 1010. Bộ so sánh sẽ quyết định A < B:
a

Dựa trên cặp LSB (cặp BIT 0)

b

Bởi vì cả hai cặp MSB không bằng nhau


c

Dựa trên cặp BIT 1

d

Bởi vì cả hai cặp MSB bằng nhau

4/ Mạch logic TTL có sơ đồ như hình vẽ làm chức năng gì:

a

NOR collector hở

b AND

c NOT collector hở

5/ IC 7483 là bộ cộng 2 số nhị phân (số A
và B) 4 bit, mạch trong hình vẽ là mạch
cộng trừ 2 số theo bù 2, mạch có chức năng
gì khi M = 0 và M = 1:

Page 13

d NAND


a


M = 0 → ( A + B ); M = 1 → ( A − B )

b

M = 0 → ( A − B ); M = 1 → ( A + B )

c

Cả hai trường hợp trên đều đúng.

d

Không thực hiện được phép tính.

6/ Giả sử trạng thái ban đầu Q0Q1Q2 là 000, sau 3 xung Clock thì trạng thái lối ra là bao nhiêu?

a

111

b 011

c 110

d 001

7/ Số đường vào/ ra (I/O) cần thiết trong bộ nhớ có dung lượng 1024 x 8 là bao nhiêu?
a 8


b

10

c 12

d 14

8/ Nếu kích hoạt một bộ đếm nối tiếp 4 bit thì tại các lối ra đảo của chúng sẽ
a Đếm từ 0 → 15

b Đếm từ 15 → 0

c Luôn là 0

d Luôn là 15.

9/ Nếu từ dữ liệu 8-bit có mẫu bit là 1010 0101, hệ thống parity chẵn:
a

không yêu cầu bit chẵn lẻ.

b

yêu cầu bit chẵn/ lẻ ở mức logic cao.

c

yêu cầu bit chẵn/ lẻ ở mức logic thấp.


d

không thể sử dụng từ dữ liệu này.

10/ Rút gọn :
a BC + ABD

F (A, B, C, D) = S (0, 1, 8, 9, 10)
b BC + D

c BC + ABD

d BC + ABD

11/ Nếu A là đường địa chỉ, K là đường dữ liệu thì mạch điện sau có chức năng gì:
a

Bộ mã hoá 2 lối vào.

b

Bộ phân kênh 2 lối vào.

c

Bộ hợp kênh 2 lối vào.

d

Bộ chọn địa chỉ nhị phân 2 lối vào


12 / Đồ hình trạng thái của mạch là hình nào?

Page 14


a Hình (a).

b

Hình (b).

c Hình (c).

d Hình (d)

13/ Trong mạch đa hài, cho R1 = R2 = 1,5 kΩ, C = 0,714 μF , tần số dao động của mạch xấp xỉ
bằng:

a f = 1000 Hz

b f = 10 kHz

c f = 100 Hz

d f = 1 kHz

14/ Cho LED 7 đoạn A chung, muốn thanh nào sáng thì Katốt của thanh đó có mức logic gì?
a


Mức logic 0

b Mức 0 và mức 1

c Không ở mức nào cả

d Mức logic 1

15/ Cổng NOT họ TTL:
a

đòi hỏi ít nhất 1 đầu vào ở mức thấp

b

đòi hỏi ít nhất 1 đầu vào ở mức cao

c

dùng để đảo mức logic

d

có thể sử dụng như bộ khuếch đại

16/ Trigơ JK đồng bộ có thế được dùng để xây dựng bộ ghi dịch?
a Đúng

b


Sai

17/ Linh kiện lưu giữ bit thông tin của SRAM là
a Trigơ
18/ Rút gọn:
a AB + BC

b Tụ điện

c Diode

d

Transistor

A BC . A B + BC + C A
b AB + AC

c AC + BC

19 / Cho biết đây là bộ đếm Mod mấy?
Page 15

d AB + AC + BC


a Mod 8, đếm tiến.

b Mod 7, đếm tiến.


c Mod 8, đếm lùi.

d Mod 7, đếm lùi.

20/ Trên bộ giải mã 7 đoạn được minh hoạ trong hình vẽ thì:
a tại một thời điểm hoạt động, có thể có nhiều hơn một đầu ra ở
trạng thái tích cực
b
cực.

tại một thời điểm hoạt động, chỉ một đầu ra ở trạng thái tích

c

tất cả các đầu ra phải đồng thời ở trạng thái tích cực.

d

tất cả các đầu ra phải đồng thời ở trạng thái không tích cực.

Phần 2 – Bài tập – 6 điểm
Câu 21. (2 điểm): Sử dụng IC 7483 để xây dựng bộ c ộng 16 bit (sơ đồ khối, giải thích)?
Câu 22. (4 điểm): Thiết kế bộ đếm thuận, đồng bộ, mod 8, mã trạng thái trong là mã Gray.

TR ƯỞNG BỘ MÔN

GIÁO VIÊN RA ĐỀ

Đặng Hoài Bắc


Nguyễn Hồng Hoa

HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG

KHOA KỸ THUẬT ĐIỆN TỬ 1
BÔ MÔN KỸ THUẬT ĐIỆN TỬ

ĐỀ THI LẠI
MÔN: ĐIỆN TỬ SỐ

Lớp :
D06CNTT
Hệ đào tạo:
Chính qui
Thời gian thi: 60 phút
ĐỀ SỐ 1
Thí sinh ghi rõ số đề và làm bài vào giấy thi, nộp lại đề sau khi thi.
Phần 1 - Trắc nghiệm – 5 điểm (0.2 điếm/ 1câu)
Page 16


Chọn một đáp án đúng nhất trong các câu sau và trả lời vào giấy thi. Ví dụ:

1.a
2.b

1/ Linh kiện lưu giữ bit thông tin của SRAM là
a Tụ điện

b Trigơ


c Transistor

d Diode

2/ Cho LED 7 đoạn A chung, muốn hiển thị số 1 thì những thanh nào sáng?
a Thanh b và c.
b Thanh e và f.
c Tất cả các thanh đều sáng trừ thanh c và f.
d Thanh a, b, d và e.
3/ Cổng NOT họ TTL:
a đòi hỏi ít nhất 1 đầu vào ở mức thấp
b dùng để đảo mức logic
c đòi hỏi ít nhất 1 đầu vào ở mức cao
d có thể sử dụng như bộ khuếch đại
4/ Mạch đa hài đợi là gì?
a Là mạch phát xung điều hoà
b Là mạch dao động đa hài có chân điều khiển
c Là mạch phát xung vuông
d Là mạch dao động đa hài có một trạng thái ổn định và một trạng thái tạm ổn định
5/ A = 1001, B = 1010. Bộ so sánh sẽ quyết định A < B:
a Dựa trên cặp LSB (cặp BIT 0)
b Bởi vì cả hai cặp MSB không bằng nhau
c Dựa trên cặp BIT 1
d Bởi vì cả hai cặp MSB bằng nhau
6/ Trong các loại trigơ sau, trigơ nào còn tồn tại tổ hợp cấm:
a Trigơ RS

b Trigơ D


c Trigơ T

d Trigơ JK

7/ Cho bộ nhớ có dung lượng là 64k x 8, số đường địa chỉ và đường vào/ra là bao nhiêu?
a 6 và 8

b 16 và 4

c 6 và 4

d 16 và 8

8/ Cho hình vẽ. Giả sử trạng thái ban đầu Q0Q1Q2 là 100,
sau 2 xung Clock thì trạng thái lối ra là bao nhiêu?

a 001

b 010

c 000

d 100

9/ Cần bao nhiêu chu kỳ xung clock đầu vào để phát ra một chu kỳ xung tại lối ra có trọng số
lớn nhất (MSB) của bộ đếm nối tiếp 5 bit?
a 4

b8


c 16
Page 17

d 32


10/ Đồ hình trạng thái của mạch điện cho trong hình vẽ sau là hình nào?

a Hình (a).

b Hình (b).

c Hình (c).

d Hình (d).

11/ Trong bộ đếm đồng bộ, các lối vào Clock
a phải được nối với trigơ LSB của bộ đếm.
b phải là dạng xung được phát theo kiểu đơn bước.
c phải được nối với trigơ MSB của bộ đếm.
d là chung cho mỗi trigơ của bộ đếm.
12/ Rút gọn: (A + B)(A + C)
a C + AB

b A + BC

c A+B

d B + AC


13/ Nếu ta có lối vào bộ cộng là QA = QB = 1 và QC = QD = 0 (QDQCQBQA).
Dựa vào thông tin đó giá trị đầu ra bộ cộng được tính là:
a 0100 nếu số nhị phân A có giá trị là 0001
b Không có trường hợp nào ở trên
c 0011 nếu số nhị phân A có giá trị là 0001
d 1100
14/ Cho mạch đa hài đợi trong hình vẽ, cho R = 50kΩ, C = 2,2μF tính độ rộng xung ra của mạch:

a T = 121 ms

b T = 11,2 ms

c T = 12,1 ms
Page 18

d T = 1,11 ms


15/ Cho LED 7 đoạn K chung, muốn thanh nào sáng thì Anốt của thanh đó có mức logic gì?
a Mức logic 0

b Mức 0 và mức 1

c Không ở mức nào cả

d Mức logic 1

16/ Mạch điện sau có chức năng gì?

a Mạch bán hiệu.


b Mạch bán tổng.

c Mạch tổng toàn phần.

d Mạch hiệu toàn phần.

17/ Mạch hợp kênh 15 đường dữ liệu cần bao nhiêu đường địa chỉ?
a 5 đường

b 3 đường

c 6 đường

d 4 đường

18/ Số đường địa chỉ cần thiết trong bộ nhớ có dung lượng 128KB là bao nhiêu?
a 17

b 15

c 16

d7

19/ Cho biết bộ đếm trong hình vẽ là bộ đếm Mod mấy?

a Mod 3, đếm tiến.

b Mod 4, đếm lùi.


c Mod 4, đếm tiến.

d Mod 3, đếm lùi.

20/ Bộ mã hoá ưu tiên là bộ mã hoá cho phép mã hoá khi:
a Có hai tín hiệu trở lên đồng thời tác động vào.
b Chỉ hai tín hiệu tác động vào
c Cả 3 phương án trên đều đúng
d Chỉ có một tín hiệu tác động vào

21/ Nếu từ dữ liệu 8-bit có mẫu bit là 1010 0101, hệ thống parity lẻ:
a không yêu cầu bit chẵn/ lẻ.
b không thể sử dụng từ dữ liệu này.
c yêu cầu bit chẵn/ lẻ ở mức logic thấp.
d yêu cầu bit chẵn/ lẻ ở mức logic cao.
22/ Phần tử lưu giữ thông tin của bộ ghi dịch là:
a Trigơ JK.

b Trigơ RS.

c Trigơ D

23/ DRAM là loại bộ nhớ
a chỉ có thể đọc dữ liệu
b không mất dữ liệu khi có nguồn nuôi
c có thể bị mất dữ liệu khi có nguồn nuôi
Page 19

d Trigơ T.



d chỉ có thể viết dữ liệu
24/ Bộ ghi dịch dùng để dịch trái dữ liệu vào nối tiếp thì luồng bit dữ liệu chuyển động từ
a Không có trường hợp nào ở trên.
b Từ phải qua trái.
c Từ trái qua phải.
d Từ phải qua trái sau đó từ trái qua phải.
25/ Phương trình đặc trưng của trigơ JK là
a Qk = J Q + K Q

b Qk = J Q + K Q

c Qk = J Q + K Q

d Qk = J Q + K Q

Phần 2 - Tự luận – 5 điểm
Thiết kế bộ đếm đồng bộ mod 10, thực hiện đếm tiến, mã trạng thái trong là mã nhị phân.

TRƯỞNG BỘ MÔN

GIÁO VIÊN RA ĐỀ

Đặng Hoài Bắc

Nguyễn Hồng Hoa

HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG


KHOA KỸ THUẬT ĐIỆN TỬ 1
Page 20

ĐỀ THI LẠI
MÔN: ĐIỆN TỬ SỐ


BÔ MÔN KỸ THUẬT ĐIỆN TỬ

Lớp :
D06CNTT
Hệ đào tạo:
Chính qui
Thời gian thi: 60 phút
ĐỀ SỐ 2
Thí sinh ghi rõ số đề và làm bài vào giấy thi, nộp lại đề sau khi thi.
Phần 1 - Trắc nghiệm – 5 điểm (0.2 điếm/ 1câu)
Chọn một đáp án đúng nhất trong các câu sau và trả lời vào giấy thi. Ví dụ:

1.a
2.b
1/ Khi bộ mã hoá ưu tiên tiến hành mã hoá thì các trạng thái có độ ưu tiên thấp hơn được xử lý thế
nào?
a Nó luôn ở mức logic thấp
b Không quan tâm xem nó ở trạng thái nào.
c Nó luôn ở mức logic cao
d Cả 3 phương án trên đều đúng
2/ Một trigơ JK ở chế độ lật. Nếu tần số Clock của nó là 4000 hz thì tần số tại lối ra là
a 1000 hz
b 2000 hz

c 500 hz
d 4000 hz
3/ Xét mạch trong hình vẽ, M là đầu điều khiển, nếu M = 0 thì mạch có chức năng gì?

a Mạch bán tổng. b Mạch hiệu toàn phần.
4/ Trong bộ đếm không đồng bộ, tín hiệu cần đếm:
a phải được nối với trigơ LSB của bộ đếm.
b phải là dạng sóng sin.
c là chung cho mỗi trigơ của bộ đếm.
d phải được nối với trigơ MSB của bộ đếm.

c Mạch bán hiệu

d Mạch tổng toàn phần

5/ Cho LED 7 đoạn A chung, muốn thanh nào sáng thì Katốt của thanh đó có mức logic gì?
a Mức logic 1
b Mức 0 và mức 1
c Mức logic 0
d Không ở mức nào cả
6/ Cổng XOR tạo ra đầu ra với mức logic thấp:
a Không lúc nào cả
b Với điều kiện là trạng thái lối vào khác nhau
c Mọi lúc
d Với điều kiện là trạng thái lối vào giống nhau.
7/ Dạng sóng ra của trigơ Schmitt là
a sin
b tam giác
c răng cưa
Page 21


d Xung vuông


8/ Trigơ JK đồng bộ cấu tạo từ cổng NAND hoạt động ở sườn nào xung nhịp:
a . cả hai sườn xung
b . sườn âm
c . sườn dương
d . Cả ba đáp án trên
9/ RAM là bộ nhớ mà :
a dữ liệu không bị mất khi mất nguồn nuôi
b dữ liệu bị mất khi mất nguồn nuôi
c cả hai câu trên đều đúng
d Không có trường hợp nào đúng
10/ Cho LED 7 đoạn A chung, muốn hiển thị số 2 thì nhưng thanh nào sáng?
a .Thanh a, b, c và e
b .Thanh a, b, c và f c .Tất cả đều sáng
d . Thanh a, b, d và e
11/ Cho biết bộ đếm trong hình vẽ sau là bộ đếm Mod mấy?

a Mod 5, đếm lùi.

b Mod 4, đếm tiến.

c Mod 5, đếm tiến.

d Mod 4, đếm lùi.

12/ Số nhị phân A = 1101 và B = 1110, sau khi so sánh hai số nhị phân thu được kết quả là:
a A

b Bc A>B
d A=B
13/ Linh kiện lưu giữ bit thông tin của DRAM là
a Tụ điện
b Transistor
c Trigơ
d Diode
14/ Bộ ghi dịch dùng để dịch phải dữ liệu vào nối tiếp thì luồng bit dữ liệu chuyển động từ
a Từ trái qua phải.
b Từ phải qua trái sau đó từ trái qua phải
c Không có trường hợp nào ở trên.
d Từ phải qua trái.
15/ Phương trình đặc trưng của trigơ RS là
a Q k = S + R Q và SR = 0
c
Q k = S + R Q và SR = 1
b

Q k = S + R Q và SR = 0

16/ Rút gọn :

F (A, B, C) =

d



Q k = S + R Q và SR = 1


(0, 2, 4, 6,7)

d AB + C
a AB + C
c AB + C
b AB + C
17/ Mạch điện được biểu diễn trong hình vẽ sau hoạt động như thế nào nếu như lối vào E ở mức
thấp?

Page 22


a Mạch trở thành cổng NAND hai lối vào
b Trạng thái lối ra không theo logic cơ bản nào
c Mạch trở thành cổng NOR hai lối vào
d Mạch trở thành cổng AND hai lối vào
18/ Mạch logic PMOS có sơ đồ như hình vẽ làm chức năng gì:

a OR
b NOR
c NAND
d AND
19/ Cho mạch điện như hình vẽ . Giả sử trạng thái ban đầu Q0Q1Q2 là 000,
sau 3 xung Clock thì trạng thái lối ra là bao nhiêu?

a 001
b 011
c 110
20/ Nếu bộ tạo bit chẵn lẻ phát ra chỉ thị parity lẻ thì mẫu dữ liệu gồm:

a một số lẻ các bit ‘1’
b một số chẵn các bit ‘1’
c một số chẵn các bit ‘0’
d một số lẻ các bit ‘0’

d 111

21/ Cho mạch đa hài như hình vẽ, cho R1 = R2 = 1kΩ, C = 0,714μF tính tần số dao động của mạch:

a f = 1 kHz

b f = 10 kHz

c f = 100 kHz

d f = 1000 kHz

22/ Nếu số nhị phân B = 0100 và số A = 1100, thì kết quả thu được sau phép cộng là (1) 0000.
Điều này đúng không?
Page 23


a
b
c
d

Đúng, bởi vì kết quả đúng là 1610.
Đúng, bởi vì kết quả đúng là 1510.
Không, bởi vì cả hai bit LSB đều bằng 00.

Không, bởi vì kết quả đúng là (1) 1111.

23/ Thời gian truy nhập của 1 RAM là 10 ns, thời gian tối thiểu phải mất đi giữa hai thao tác đọc là
bao nhiêu ?
a 40 ns
b 30 ns
c 20 ns
d 10 ns
24/ Nếu E = 1 thì mạch điện sau có chức năng gì:

a
b
c
d

Bộ hợp kênh 2 lối vào.
Bộ mã hoá 2 lối vào.
Bộ phân kênh 2 lối vào.
Bộ chọn địa chỉ nhị phân 2 lối vào.

25/ Cần bao nhiêu chu kỳ xung clock đầu vào để phát ra một chu kỳ xung tại lối ra có trọng số
lớn nhất (MSB) của bộ đếm song song 4 bit?
a 32
b 16
c 8
d4
Phần 2 - Tự luận – 4 điểm
Thiết kế bộ đếm đồng bộ mod 9, thực hiện đếm tiến, mã trạng thái trong là mã nhị phân.
TRƯỞNG BỘ MÔN


GIÁO VIÊN RA ĐỀ

Đặng Hoài Bắc

Nguyễn Hồng Hoa

Page 24



×