Tải bản đầy đủ (.docx) (33 trang)

ĐIỀU KHIỂN THIẾT bị BẰNG SÓNG RF dùng 89c51 ( có code và mạch in )

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (852.45 KB, 33 trang )

ĐỒ ÁN 2

ĐIỀU KHIỂN THIẾT BỊ BẰNG SÓNG
RF
MỤC LỤC


DANH MỤC HÌNH ẢNH


DANH MỤC BẢNG
Bảng 2.3: Bảng chức năng của các chân Port3 của Vi điều khiển………….15
Bảng 3.3 Lưu đồ giải thuật……………………………………………………20




Điều Khiển Thiết Bị Bằng Sóng RF

LỜI MỞ ĐẦU
Nhu cầu hoạt động của con người ngày càng cao, các thiết bị sinh hoạt ngày
càng hiện đại. Lĩnh vực tự động hóa được chú trọng hơn, do đó điều khiển từ xa ngày
càng trở nên cần thiết và là một yêu cầu không thể thiếu trong xã hội hiện nay.
Trong sinh hoạt hằng ngày của con người như những trò chơi giải trí (roboot,
xe điều khiển từ xa….) cho đến các thiết bị gần gũi với con người cũng được cải tiến
cho phù hợp với việc sử dụng và đạt mức tiện lợi nhất có thể được. Điều khiển từ xa đã
xâm nhập vào lĩnh vực này và cho ra những TIVI, đầu máy Video…. Đến các thiết bị
như quạt máy, điều hòa….Xuất phát từ những ý tưởng đó và nhu cầu cuộc sống nên em
đã chọn đề tài “ Điều khiển thiết bị bằng sóng RF” .

Page 6




Điều Khiển Thiết Bị Bằng Sóng RF

LỜI CẢM ƠN
Với lòng biết ơn sâu sắc nhất , em xin gửi đền thầy Ths Nguyễn Nhật Tân, cùng
các quý thầy cô khoa Điện – Điện tử , Trường ĐH Tôn Đức Thắng đã cùng với tri thức
và tâm huyết của mình đã truyền đạt vốn kiến thức quý báu cho chúng em trong những
năm học tập tại trường . Nhờ đó giúp sinh viên chúng em không những trang bị cho
mình những kiến thức lý thuyết vững vàng mà còn có thể áp dụng những gì được học
vào thực tế qua đề tài môn học này
Chúng em cũng xin thể hiện sự kính trọng và lòng biết ơn đến Quý Thầy Cô
trong Khoa Điện – Điện Tử, những người đã trang bị cho chúng em rất nhiều kiến
thức chuyên ngành, cũng như sự chỉ bảo, giúp đỡ tận tình của quý Thầy Cô đối với
chúng em trong suốt quá trình học tập. Tất cả các kiến thức mà chúng em lĩnh hội được
từ bài giảng của các Thầy Cô là vô cùng quý giá.
Cuối cùng, chúng em cũng xin gởi lời cảm ơn đến gia đình và bạn bè đã dành sự
quan tâm giúp đỡ động viên tinh thần trong suốt quá trình thực hiện. Những tình cảm
đó là vô cùng quý báu để giúp chúng em hoàn thành tốt nghiên cứu khoa học này. Một
lần nữa , em xin chân thành cảm ơn !

Page 7


Điều Khiển Thiết Bị Bằng Sóng RF

Nhận xét của giảng viên hướng dẫn
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................

...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
……………………………………………………………………………………
……………………………………………………………………………………
……………………………………………………………………………………
……………………………………………………………………………………
……………………………………………………………………………………
……………………………………………………………………………………
………………………………………………………………..

Thành phố Hồ Chí Minh, ngày

Page 8

tháng

năm 2016


Điều Khiển Thiết Bị Bằng Sóng RF

Nhận xét của giảng viên phản biện

...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................
...............................................................................................................................

Thành phố Hồ Chí Minh, ngày

Page 9

tháng

năm 2016



Điều Khiển Thiết Bị Bằng Sóng RF

CHƯƠNG 1 : GIỚI THIỆU ĐỀ TÀI
1.1 Khái quát đề tài
Kĩ thuật vi xử lí hiện nay rất phát triển,so với kỹ thuật số thì kĩ thuật vi
xử lý nhỏ gọn hơn rất nhiều,do nó được tích hợp lại và được lập trình để điều
khiển. Với tính ưu việt của vi xử lí thì trong phạm vi đồ án nhỏ này , sử dụng
điều khiển thiết bị qua bộ thu phát RF và đưa tín hiệu vài vi điều khiển để xử
lý. Trong đề tài này em sẽ dùng bộ thu phát RF PT2262 và PT2272 , vi điều
khiển AT89C51 điện trở, tụ điện, thạch anh,led, relay ,transistor…
1.2 Sơ đồ khối

H
ình 1.1 Sơ đồ khối của mạch
 Khối nguồn: Khối nguồn tạo ra dòng điện và điện áp ổn định cung cấp an
toàn cho cả mạch.

Page 10


Điều Khiển Thiết Bị Bằng Sóng RF

 Khối phát RF: Sử dụng linh kiện IC PT2272, Module phát RF, nút nhấn,

điện trở, diode, led và nguồn cấp cho bộ phát 12 Vdc.
 Khối thu RF: Sử dụng linh kiện IC PT2262, Module thu RF, điện trở, led.
 Khối điều khiển: nhận tín hiệu từ bộ thu RF truyền đến vi điều khiển

AT89C51
 Khối điều khiển 4 thiết bị : Nhận tín hiệu ngõ ra từ vi điều khiển


transistor mở cho dòng đi qua Relay để điều khiển tải

Page 11


Điều Khiển Thiết Bị Bằng Sóng RF

Chương 2 : GIỚI THIỆU CÁC LINH KIỆN SỬ DỤNG TRONG
MẠCH
2.1 Giới Thiệu Các Linh Kiện Cơ Bản
2.1.1 Relay

Hình 2.1: Ảnh thực tế Relay
Relay là loại linh kiện đóng ngắt điện cơ bản . Nó gồm 2 thành phần chính là nam
châm điện và các tiếp điểm. . Cấu tạo của relay gồm 4 phần: nam châm điện, lõi sắt ,
lò xo, các tiếp điểm. Khi công tắc đóng, nam châm điện có từ trường sẽ hút thanh sắt
dịch chuyển giữa hai vị trí như một công tắt. Khi có lực hút từ trường thanh sắt ở vị trí
hai ( thường mở) đèn sáng. Ngược lại, lò xo sẽ kéo thanh sắt lên vị trí 1 ( thường đóng)
làm hở mạch , đèn tắt.
2.1.2 Tụ điện

Hình 2.2: Hình ảnh thực tế các loại tụ điện

Page 12


Điều Khiển Thiết Bị Bằng Sóng RF




Tụ điện là linh kiện dùng để cản trở dòng điện xoay chiều và ngăn không cho
dòng điện một chiều đi qua,tụ điện còn có khả năng phóng nạp khi cần thiết.

* Kí hiệu và cấu tạo.
Kí hiệu :

Hình 2.3: Kí hiệu của tụ điện


Tụ không phân cực là tụ có hai cực như nhau và giá tri thường
nhỏ(pF).



Tụ phân cực là tụ có hai cực tính âm và dương,không thể dùng lẫn lộn
nhau được.Có giá trị lớn hơn so với tụ không phân cực.



Cấu tạo của tụ điện: gồm hai bản cực song song,ở giữa có một lớp
cách điện gọi là điện môi như tụ hóa,tụ gốm,tụ giấy…

2.1.3 Điện trở


Điện trở là linh kiện thụ động , chúng có tác dụng cản trở dòng điện,tạo sự
sụt áp để thực hiện chức năng theo ý muốn.




Trong thực tế điện trở là loại linh kiện điện tử không phân cực,được làm từ
hợp chất cacbon và kim loại và được pha theo tỷ lệ mà tạo ra các điện trở có
trị số khác nhau.
Page 13


Điều Khiển Thiết Bị Bằng Sóng RF

Hình 2.4: Hình dạng của điện trở
2.1.4 Diode
Diode được cấu tạo gồm hai lớp bán dẫn P-N được gép với nhau. Diode thông
dụng nhất là 1N4007, có chức năng dùng để đổi điện xoay chiều- thường là
điện thế 50Hz đến 60Hz sang điện thế 1 chiều

Hình 2.5: Hình diode thực tế và ký hiệu
2.1.5 Led đơn
Led đơn là một dạng của Diode. Thông thường dòng điện đi qua vật dẫn điện sẽ sinh ra
năng lượng dưới dạng nhiệt. Ở một số chất bán dẫn đặc biệt khi có dòng điện đi qua thì
có hiện tượng bức xạ quang ( phát ra ánh sáng). Tùy theo chất bán dẫn mà ánh sáng
phát ra có màu khác nhau.

Page 14


Điều Khiển Thiết Bị Bằng Sóng RF

Hình 2.6: Hình led đơn trong thực tế
2.1.6 Transistor C1815
C1815 là Transsistor BJT gồm ba miền tạo bởi hai tiếp giáp P-N, trong đó miền

giữa là bán dẫn loại P. Miền có mật độ tạp chất cao nhất, kí hiệu n+ là miền phát
(emitter). Miền có mật độ tạp chất thấp hơn, kí hiệu n, gọi là miền thu (collector). Miền
giữa có mật độ tạp chất rất thấp, kí hiệu P, gọi là miền gốc (base). Ba chân kim loại gắn
với ba miền tương ứng với ba cực emitter (E), base (B), collector(C) của transistor.
Chức năng của transistor chủ yếu là khuếch đại tín hiệu và đóng ngắt các mạch
điện.

Hình 2.7: Hình Transistor C1815
2.2 Giới thiệu bộ thu phát RF PT2262 và PT 2272
2.2.1 Tổng quan về PT2262 và PT2272
Ngày nay người ta chế tạo rất nhiều các cặp ic , một con dùng cho bên phát và một con
dùng cho bên nhận. Trong đó ic PT2262 dùng để tạo ra mã lệnh và ic PT2272 dùng để
giải mã.

Sơ đồ chân của ic PT2262:

Page 15


Điều Khiển Thiết Bị Bằng Sóng RF

Hình 2.8: Sơ đồ chân và ảnh thực tế IC PT2262
IC PT2262 có nhiều loại: 18 chân và 20 chân.
Chức năng của từng chân:











Chân số 9 nối mass và chân số 18 nối nguồn Vcc, từ 4 đến 15v
Trên chân OSC1(17) và OSC2(16) dùng gắn điện trở R định tần số cho xung
nhịp, dùng tạo ra các dãy xung mã lệnh. Tần số xung nhịp phải lấy tương thích
giữa bên phát và bên nhận.
Các chân A0-A5(1-6) dùng nhập địa chỉ, trên mỗi chân có thể có 3 trạng thái,
cho nối masse là bit 0, cho nối vào nguồn dương là bit 1 và bỏ trống là bit F.
Chân A6/D0-A11/D5( 7-8 và 10-13) có thể dùng như các chân địa chỉ từ A6 đến
A11, nhưng khi dùng như chân nhâp dữ liệu Data thì chỉ xác lập thep mức 0 và
mức 1, chỉ có 2 trạng thái.
Chân TE dùng cho xuất nhóm xung mã lệnh, nó có tác dụng ở mức áp thấp,
Nghĩa là khi chân này ở mức thấp, nó sẽ cho xuất ra xung mã lệnh trên chân
Dout .
Chân Dout , là chân ngõ ra của nhóm tín hiệu mã lệnh, các tín hiệu mã lệnh đều
ở dạng xung, nghĩa là lúc ở mức áp thấp , lúc ở mức áp cao.

2.2.2 Mã hóa với PT2262
Biểu diễn Bit code mã hóa
Cơ bản PT2262 sử dụng mỗi Bit gồm 3 trạng thái, 0,1 và f. Mỗi trạng thái sẽ có
1 kiểu mã Bit code khác nhau. Mỗi Bit code mã hóa chứa trong 32 chu kì tần số mã
hóa của OSC(32α ) thuộc vào giá trị điện trở gắn trên chân OSC1 và OSC2. Sau khi có
xung nhịp có chu kỳ là α, bây giờ người ta tạo ra các dạng xung khác nhau dùng để chỉ
trạng thái các bit: đó là bit 0 , bit 1 và bit F.

Page 16



Điều Khiển Thiết Bị Bằng Sóng RF

Hình 2.9: Sơ đồ khối nguyên lý IC tạo mã lệnh

Hình 2.10: Sơ đồ khối nguyên lý IC nhận mã lệnh
Chân địa chỉ A0-A5 và chân dữ liệu D0..D5 bên IC phát và IC thu là giống
nhau. Vậy nếu cho chân nào nối masse thì chân đó được đinh là bit 0, nếu cho nối lên
nguồn thì định chân đó là bit 1 và nếu chân đó bỏ trống thì xem như là bit F. Chỉ khi
mã lệnh của bên phát và bên thu được đặt giống nhau và tần số xung nhịp phù hợp, lúc
đó cặp IC này mới hiểu nhau, có tác dụng trong điều khiển, nếu có khác nhau thì bên
Page 17


Điều Khiển Thiết Bị Bằng Sóng RF

thu sẽ không nhận ra bên phát và sẽ không phát lệnh điều khiển VT theo lệnh của bên
phát.
2.2.3 Giải mã với PT2272
Khi xung mã lệnh phát ra từ IC PT2262 , nhóm xung mã lệnh này sẽ được đưa vào IC
PT2272 để được giải mã và phát tín hiệu để điều khiển các thiết bị. Hoạt động bên
trong của IC giải mã lệnh PT2272 như sau:

Hình 2.11: Cấu trúc PT2272
Chân OSC1 và OSC2 dùng gắn thạch anh để định tần cho xung nhịp , xung nhịp này
cần thiết cho hoạt động của IC. Các chân địa chỉ là A0..A5 và chân địa chỉ /dữ liệu là
A6/D5 đến A11/D0, trạng thái bit trên các chân này dùng xác lập mã lệnh dùng cho
việc xác lập mã lệnh dùng cho việc dò mã lệnh của bên phát. Chân ngõ ra là DIN, mã
lệnh , nếu mã lệnh của bên phát đúng với mã lệnh đã xác lập trong IC , nó sẽ sau khi
qua 2 tầng khuếch đại đảo , tín hiệu mã lệnh cho vào mạch computer logic để dò cho
qua mạch Output logic chờ xuất ra, khi mạch dò xung đồng bộ Synchro Detect xác

nhận tín hiệu vào là chính xác, nó sẽ cho xuất lệnh điều khiển trên chân VT.
2.3 Giới Thiệu Vi Xử lý AT89C51

*
*
*
*
*
*
*

2.3.1 Khối Vi Điều Khiển
Các đặc điểm của AT89C51 được tóm tắt như sau:
4 KB bộ nhớ có thể lập trình lại nhanh.
Tần số hoạt động từ: 0Hz đến 24 MHz.
2 bộ Timer/counter 16 Bit.
128 Byte RAM nội.
4 Port xuất nhập I/O 8 bít.
Giao tiếp nối tiếp.
64 KB vùng nhớ mã ngoài.

Page 18


Điều Khiển Thiết Bị Bằng Sóng RF

*
*
*


64 KB vùng nhớ dữ liệu ngoài.
Xử lý Boolean (hoạt động trên bit đơn)
210 vị trí nhớ có thể định vị bit.
Sơ đồ chân và chức năng các chân của vi điều khiển AT89C51:

Hình 2.12: Sơ đồ chân IC89C51
Chức năng các chân của vi điều khiển AT89C51:
• Chân VCC: Chân số 40 là VCC cấp điện áp nguồn cho Vi điều khiển.
Nguồn điện cấp là +5V ±0.5.
• Chân GND: Chân số 20 nối GND (hay nối Mass).


Port 0 (P0): gồm 8 chân (từ chân 32 đến 39) có hai chức năng là xuất và
nhập . Các chân này được dùng để nhận tín hiệu từ bên ngoài vào để xử lí,
hoặc dùng để xuất tín hiệu ra bên ngoài, chẳng hạn xuất tín hiệu để điều
khiển led đơn sáng tắt.



Port 1 (P1): gồm 8 chân (từ chân 1 đến chân 8), chỉ có chức năng làm các
đường xuất/nhập, không có chức năng khác.



Port 2 (P2): Port 2 gồm 8 chân (từ chân 21 đến chân 28) có hai chức
năng: Xuất / Nhập. Chức năng là bus địa chỉ cao (A8-A15): khi kết nối với
bộ nhớ ngoài có dung lượng lớn,cần 2 byte để định địa chỉ của bộ nhớ, byte
thấp do P0 đảm nhận, byte cao do P2 này đảm nhận.

Page 19



Điều Khiển Thiết Bị Bằng Sóng RF



Port 3 (P3): gồm 8 chân (từ chân 10 đến 17): chức năng Xuất / Nhập. Với
mỗi chân có một chức năng riêng thứ hai:
Bit
P3.0
P3.1
P3.2
P3.3
P3.4
P3.5
P3.6
P3.7
P1.0
P1.1

Tên
RxD
TxD
INT0
INT1
T0
T1
WR
RD
T2

T2X

Chức năng
Ngõ vào nhận dữ liệu nối tiếp
Ngõ xuất dữ liệu nối tiếp
Ngõ vào ngắt cứng thứ 0
Ngõ vào ngắt cứng thứ 1
Ngõ vào của Timer/Counter thứ 0
Ngõ vào của Timer/Counter thứ 1
Ngõ điều khiển ghi dữ liệu lên bộ nhớ ngoài
Ngõ điều khiển đọc dữ liệu từ bộ nhớ bên ngoài
Ngõ vào của Timer/Counter thứ 2
Ngõ Nạp lại/thu nhận của Timer/Counter thứ 2

Bảng 2.3: Bảng chức năng của các chân Port3 của Vi điều khiển.


Chân RESET (RST): ngõ vào RST ở chân 9 là ngõ vào Reset dùng để thiết
lập trạng thái ban đầu cho vi điều khiển. Hệ thống sẽ được thiết lập lại các
giá trị ban đầu nếu ngõ này ở mức 1.

Page 20


Điều Khiển Thiết Bị Bằng Sóng RF

Hình 2.13 Mạch reset cho vi điều khiển.


Chân XTAL1 và XTAL2: Hai chân này có vị trí chân là 18 và 19 được sử

dụng để nhận nguồn xung clock từ bên ngoài để hoạt động, thường được
ghép nối với thạch anh và các tụ để tạo nguồn xung clock ổn định.



Chân ALE (chân cho phép chốt địa chỉ-chân 30) : Khi Vi điều khiển truy
xuất bộ nhớ từ bên ngoài, port 0 vừa có chức năng là bus địa chỉ vừa có chức
năng là bus dữ liệu do đó phải tách các đường dữ liệu và địa chỉ. Tín hiệu ở
chân ALE dùng làm tín hiệu điều khiển để giải đa hợp.
Các xung tín hiệu ALE có tốc độ bằng 1/6 lần tần số dao động đưa vào Vi
điều khiển, như vậy có thể dùng tín hiệu ở ngõ ra ALE làm xung clock cung
cấp cho các phần khác của hệ thống.



Chân EA : Chân EA dùng để xác định chương trình thực hiện được lấy từ
ROM nội hay ROM ngoại. Khi EA nối với logic 1(+5V) thì Vi điều khiển
Page 21


Điều Khiển Thiết Bị Bằng Sóng RF

thực hiện chương trình lấy từ bộ nhớ. Khi EA nối với logic 0(0V) thì Vi điều
khiển thực hiện chương trình lấy từ bộ nhớ ngoại .


Chân cho phép bộ nhớ chương trình PSEN: PSEN ( program store
enable) tín hiệu được xuất ra ở chân 29 dùng để truy xuất bộ nhớ chương
trình ngoài. Chân này thường được nối với chân OE (output enable) của
ROM ngoài. Khi vi điều khiển làm việc với bộ nhớ chương trình ngoài, chân

này phát ra tín hiệu kích hoạt ở mức thấp và được kích hoạt 2 lần trong 1 chu
kỳ máy. Khi thực thi một chương trình ở ROM nội, chân này được duy trì ở
mức logic 0.

CHƯƠNG 3: THIẾT KẾ MẠCH
3.1 Sơ đồ nguyên lý
3.1.1 Sơ đồ mạch nguồn.
Page 22


Điều Khiển Thiết Bị Bằng Sóng RF

Hình 3.1: Sơ đồ nguyên lý khối nguồn
3.1.2 Sơ đồ nguyên lý khối vi điều khiển

Hình 3.2: Sơ đồ nguyên lý khối vi điều khiển

Page 23


Điều Khiển Thiết Bị Bằng Sóng RF

3.1.3 Sơ đồ nguyên lý khối relay công suất

Hình 3.3: Sơ đồ nguyên lý khối công suất
3.1.4 Sơ đồ nguyên lý khối thu RF.

Hình 3.4: Sơ đồ nguyên lý khối thu RF

Page 24



Điều Khiển Thiết Bị Bằng Sóng RF

3.1.5 Sơ đồ mạch layout.

Hình 3.5 Sơ đồ mạch layout vẽ trên Protues
3.2 Nguyên lý hoạt động của mạch
Khi cấp nguồn cho mạch hoạt động thì chân 1013 của PT2272 ở mức 0, do có
dùng C1815 nên ngõ vào của AT89C51 chân k1,k2,k3, k4 mức cao nên tín hiệu ra ở
các khối điều khiển bằng 1,nên làm cho C1815 không kích không có hiệu điện thế qua
nên không sáng LED, không có dòng kích làm cho transistor không dẫn.
Khi ta nhấn phím bất kỳ trên remote chân 17 của PT2272 có tín hiệu mạch thu
sẽ nhận tín hiệu truyền vào chân 14 của PT2272 làm cho chân 10-13 của PT2272 lên
mức 1, tín hiệu được đưa vào AT89C51 sau khi qua C1815 sẽ là mức thấp , sau đó đưa
tín hiệu ngõ ra làm cho C1815 có dòng kích có hiệu điện thế đi qua làm cho LED báo
sáng và có dòng chạy qua relay làm cuộn dây sinh ra từ trường hút thanh sắt làm cho
relay đóng và có dòng chạy qua tiếp điểm sau đó thì thiết bị cần điều khiển sẽ bật.

Page 25


×