Tải bản đầy đủ (.pdf) (61 trang)

Nghiên cứu thiết kế bộ PLL số và ứng dụng

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (2.72 MB, 61 trang )

MỤC LỤC
LỜI CAM ĐOAN....................................................................................................3
DANH MỤC CHỮ VIẾT TẮT ...............................................................................4
DANH MỤC HÌNH VẼ ĐỒ THỊ ............................................................................5
MỞ ĐẦU ................................................................................................................8
Chương 1: TỔNG QUAN......................................................................................10
1.1 Khái quát về vô tuyến số..................................................................................10
1.1.1 Giới thiệu tổng quan .....................................................................................10
1.1.2 Các kiến trúc thiết bị vô tuyến số ..................................................................11
1.1.2.1 Cấu trúc vô tuyến số đổi tần tực tiếp (Zero-IF)...........................................11
1.1.2.2 Cấu trúc vô tuyến số sử dụng tần số trung tần ............................................11
1.2 Tổng quan về PLL và DPLL............................................................................12
1.2.1 Tổng quan về PLL ........................................................................................12
1.2.2 Tổng quan về DPLL .....................................................................................14
1.2.2.1 Tách sóng pha (PD) ...................................................................................14
1.2.2.2 Lọc vòng....................................................................................................16
1.2.2.3 Bộ dao động được điều khiển số ................................................................17
1.2.2.4 Bộ loc FIR .................................................................................................18
1.3 Kết luận chương 1 ...........................................................................................18
Chương 2: THIẾT KẾ DPLL.................................................................................20
2.1 Điều chế và giải điều chế QPSK ......................................................................20
2.1.1 Tổng quan điều chế và giải điều chế QPSK ..................................................20
2.1.2 Điều chế QPSK.............................................................................................24
2.1.3 Giải điều chế QPSK......................................................................................27
2.1.4 Quan hệ pha..................................................................................................28
2.1.5 Đồng bộ........................................................................................................29
2.1.6 Mã hóa vi sai ................................................................................................30
2.2 Thiết kế DPLL.................................................................................................33
2.2.1 Lựa chọn công cụ thiết kế .............................................................................34

1




2.2.2 Thiết kế NCO ...............................................................................................36
2.2.3 Thiết kế mô đun trộn tín hiệu........................................................................38
2.2.4 Thiết kế các bộ lọc........................................................................................41
2.2.4.1 Thiết kế bộ lọc FIR ....................................................................................41
2.2.4.2 Thiết kế bộ lọc CIC....................................................................................44
2.3 Kết luận chương 2 ...........................................................................................46
Chương 3: KẾT QUẢ THIẾT KẾ ........................................................................47
3.1 Kết quả tổng hợp thiết kế phần mềm................................................................47
3.2 Các kết quả đo đạc kiểm tra trên bo mạch phần cứng.......................................49
3.2.1 Tín hiệu điều chế QPSK ...............................................................................49
3.2.2 Giải điều chế QPSK......................................................................................50
3.2.3 Kết quả thiết kế bộ khôi phục dữ liệu và định thời ........................................52
3.2.4 Kết quả thiết kế kiểm tra trên bo mạch..........................................................57
3.3 Kết luận chương 3 ...........................................................................................59
KẾT LUẬN VÀ HƯỚNG NGHIÊN CỨU TIẾP THEO .......................................60
TÀI LIỆU THAM KHẢO .....................................................................................61

2


LỜI CAM ĐOAN
Tôi cam đoan đây là công trình nghiên cứu của riêng tôi. Các số liệu, kết
quả, nghiên cứu trong luận văn là trung thực và chưa từng được ai công bố trong
bất kỳ công trình nào khác.
Tác giả Luận văn

Hồ Huy Quang


3


DANH MỤC CHỮ VIẾT TẮT
Chữ viết tắt
ADC
ALE
ASIC
CIC
DAC
DDC
DDS
DPLL
DSP
DUC
FPGA
HF
QAM
LO
MPSK
PLL
PD
QPSK
NCO
FIR
THTS
RF
SDR

Tiếng Anh

Analog to Digital Conversion
Automatic Link Establishment
Application Specific Integrated
Circuit
Cascaded Integrator-Comb
Digital to Analog Conversion
Digital Down Converters
Direct Digital Synthesis
Digital Phase Locked Loop
Digital Signal Processor
Digital Up Converters
Field Programmable Gate Arry
High Frequency
Quadrature Amplitude
Modultion
Local Oscillator
Multilevel Phase Shift Keying
Phase Locked Loop
Phase Detector
Quadrature Phase Shift Keying
Numerically Controler
Oscillator
Finite Impulse Response
Radio Frequency
Software Defined Radio

VCO

Voltage Controlled Oscillator


VHF

Very High Frequency

4

Tiếng Việt
Chuyển đổi tương tự/số
Thiết lập kênh truyền tự động
Mạch tích hợp chuyên dụng
Bộ lọc tích phân-răng lược nối
tầng
Chuyển đổi số/tương tự
Chuyển đổi xuống số
Tổng hợp tần số trực tiếp
Vòng lặp khóa pha số
Xử lý tín hiệu số
Chuyển đổi lên số
Mảng cổng lập trình
Tần số cao
Điều chế biên độ cầu phương
Bộ dao động nội
Điều chế pha nhiều mức
Vòng lặp khóa pha
Bộ tách sóng pha
Điều chế pha cầu phương
Bộ dao động điều khiển số
Đáp ứng xung hữu hạn
Tổ hợp tần số
Tần số vô tuyến

Vô tuyến cấu hình mềm
Bộ dao động điều khiển bằng điện
áp
Tần số cực cao


DANH MỤC HÌNH VẼ ĐỒ THỊ
STT
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22

23
24
25
26
27
28
29
30

Chương 1
Trang
Hình 1.1: Sự chuyển phổ tín hiệu khi không sử dụng tần số trung tần
10
Hình 1.2: Cấu trúc vô tuyến số đổi tần tực tiếp(Zero-IF)
11
Hình 1.3: Cấu trúc vô tuyến số sử dụng tần số trung tần
12
Hình 1.4: Sơ đồ khối chung của bộ THTS dùng vòng khóa pha PLL
12
Hình 1.5: Sơ đồ khối của một DPLL
14
Hình 1.6: Sơ đồ khối của bộ tách sóng pha
15
Hình 1.7: Giải thuật nhân Booth
15
Hình 1.8: Bộ lọc vòng bậc một
16
Hình 1.9: Bộ dao động điều khiển số
17
Hình 1.10: Lấy mẫu trong một chu kỳ sin

18
Hình 1.11: Sơ đồ khối bộ lọc FIR
18
Chương 2
Hình 2.1: Sơ đồ khối điều chế cầu phương
20
Hình 2.2: Các dạng tín hiệu và phổ của chúng
21
Hình 2.3: Constellation của 8-PSK
23
Hình 2.4: Sơ đồ điều chế MPSK
24
Hình 2.5: Cấu trúc cơ bản bộ điều chế I/Q
25
Hình 2.6: Tín hiệu QPSK không lọc
26
Hình 2.7: Tín hiệu QPSK có lọc
26
Hình 2.8: Sơ đồ khối của bộ giải điều chế
27
Hình 2.9: Quan hệ pha trong QPSK
28
Hình 2.10: Tạo tín hiệu chuẩn phía giải điều chế QAM
30
Hình 2.11: Sơ đồ khối mã và giải mã vi sai
31
Hình 2.12: Dạng sóng mã hóa và giải mã vi sai
32
Hình 2.13: Sơ đồ khôi phục sóng mang COSTAS cho QPSK
33

Hình 2.14: Sơ đồ khối giải điều chế QPSK
34
Hình 2.15: Cấu trúc DDS cầu phương
36
Hình 2.16: Mô phỏng và tính toán bảng Lookup cho DDS bằng
36
Matlab
Hình 2.17: Dạng tín hiệu mô phỏng của dao động chuẩn trên phần
37
mềm System Generator
Hình 2.18: Phổ tần số sóng mang 10,24 MHz thu trên máy phân tích
38
phổ
Hình 2.19: Tín hiệu hình sin 1,8 kHz thu được trên máy hiện sóng
38

5


31
31
33
34
35
36
37
38
39
40
41

42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62

Hình 2.20: Kết quả mô phỏng trên Matlab trộn 2 tín hiệu có dấu
Hình 2.21:Mô phỏng trên System Generator trộn tín hiệu 1 KHz và
1,8 KHz
Hình 2.22: Sơ đồ thực hiện kiểm tra bộ trộn tín hiệu
Hình 2.23: Kết quả thực tế khi trộn tín hiệu 1 KHz và 1,8 KHz
Hình 2.24: Phổ tín hiệu sau khi trộn tín hiệu 10,24 MHz và 1 KHz
Hình 2.25: Cấu trúc được thực hiện của bộ lọc FIR
Hình 2.26: Đặc tuyến của bộ lọc FIR thông thấp tần số cắt 2.4 KHz

Hình 2.27: Sơ đồ thực hiện kiểm tra bộ lọc FIR lọc thấp tần 2,4
KHz
Hình 2.28: Tín hiệu tần số 0,5 KHz quan sát trên máy hiện sóng sau
khi qua bộ lọc thấp tần 2,4 KHz
Hình 2.29: Tín hiệu tần số 2,6 KHz quan sát trên máy hiện sóng sau
khi qua bộ lọc thấp tần 2,4 KHz
Hình 2.30: Mô phỏng đặc tuyến bộ lọc CIC
Hình 2.31: Mô phỏng đáp ứng pha của bộ lọc CIC
Hình 2.32: Sơ đồ thực hiện kiểm tra bộ lọc CIC
Hình 2.33: Tín hiệu trước và sau khi qua bộ lọc CIC tăng mẫu
Hình 2.34: Tín hiệu trước và sau khi qua bộ lọc CIC giảm mẫu
Chương 3
Hình 3.1: Biên dịch và nạp chương trình trên phần mềm ISE
Hình 3.2: Các mô đun phần mềm được tổng hợp
Hình 3.3: Tài nguyên FPGA được sử dụng sau khi tổng hợp
Hình 3.4: Đo đạc kiểm tra kết quả thiết kế
Hình 3.5: Tín hiệu QPSK
Hình 3.6: Sơ đồ khối bộ giải điều chế QPSK bên trong FPGA
Hình 3.7: Sơ đồ khối chuyển dịch tần số về băng tần gốc DDC
Hình 3.8: Mô phỏng khối DDC
Hình 3.9: Bộ lọc vòng khôi phục sóng mang
Hình 3.10: Kết quả mô phỏng khôi phục sóng mang
Hình 3.11: Mô phỏng sóng mang và Symbol chưa được khôi phục
Hình 3.12: Mô phỏng sóng mang và dữ liệu đã được khôi phục
Hình 3.13: Biểu đồ mắt tín hiệu QPSK
Hình 3.14: Quá trình khôi phục dữ liệu và định thời
Hình 3.15: Tín hiệu đã được khôi phục không có nhiễu
Hình 3.16: Tín hiệu đã được khôi phục có nhiễu
Hình 3.17: Đầu ra bộ lọc trung bình của tín hiệu không có nhiễu
6


39
39
40
40
41
42
42
43
43
43
44
45
45
46
46
47
48
48
49
49
50
50
50
51
51
51
52
52
53

54
54
54


63
64
65
66
67
68
69
70
71

Hình 3.18: Đầu ra bộ lọc trung bình của tín hiệu có nhiễu
Hình 3.19: Khôi phục định thời DPLL
Hình 3.20: Sơ đồ nguyên lý làm việc bộ tách sóng pha
Hình 3.21: Mô phỏng khối khôi phục định thời
Hình 3.22: Dạng sóng tín hiệu đã điều chế QPSK
Hình 3.23: Mô hình kiểm tra thiết kế bộ điều chế/giải điều chế
QPSK
Hình 3.24: Phổ và tín hiệu điều chế QPSK
Hình 3.25: Thử nghiệm thu-phát giữa 2 thiết bị
Hình 3.26: Kết quả đồng bộ với vòng khóa pha DPLL

7

55
55

56
56
57
57
58
58
58


MỞ ĐẦU
Hiện nay, khoa học kỹ thuật ngày càng phát triển, đặc biệt với sự phát triển
mạnh mẽ của kỹ thuật điện tử đã tạo ra nhiều ứng dụng rất to lớn trong cuộc sống
của loài người. Một trong những ứng dụng sát thực nhất của kỹ thuật điện tử là xử
lý tín hiệu số cho các thiết bị trong hệ thống viễn thông.
Với sự phát triển của công nghệ điện tử số mà đỉnh cao là sự ra đời của công
nghệ ASIC/FPGA, các loại chíp DSP, các máy tính chuyên dụng đã mở ra khả năng
thiết kế, chế tạo và thực thi cao. Trên cơ sở những tiền đề đó, các máy thu phát vô
tuyến điện thế hệ số đã lần lượt xuất hiện bởi rất nhiều lý do như có thể lập trình lại,
độ chính xác cao, giá thành rẻ, dễ dàng trong khai thác sử dụng,... Với cấu hình
phần cứng và khả năng tích hợp rất lớn của FPGA, DSP… cho phép xây dựng các
hệ máy thu phát vô tuyến điện với hai đặc tính vượt trội là: dải tần rộng và có cấu
hình mềm.
Trên thế giới hiện nay có rất nhiều công ty khác nhau phát triển các sản
phẩm vô tuyến cấu hình mềm (SDR), mỗi sản phẩm có tính năng riêng giải quyết
các bài toán khác nhau từ thông tin liên lạc mặt đất, hàng không, hàng hải, vệ tinh…
hay thông tin di động thế hệ mới trong lĩnh vực an ninh công cộng, các hệ thống cơ
sở dữ liệu... Ứng dụng SDR tạo điều kiện thuận lợi cho sử dụng, nâng cấp khi cần
thay đổi, cung cấp nhiều dịch vụ công tác. Đối với tình hình nghiên cứu trong nước,
khái niệm SDR vẫn có thể coi là một khái niệm khá mới mẻ và hiện tại chưa có một
kết quả nghiên cứu hoàn chỉnh nào được công bố. SDR là một lĩnh vực khá mới

nhưng nó đã được bắt đầu nghiên cứu. Điều kiện hiện nay về công nghệ thông tin
và về giao lưu quốc tế cho phép ta tiếp cận với các công nghệ mới nhất trên thế giới.
Đây là thuận lợi rất lớn cho việc hiện đại hóa các trang thiết bị thông tin liên lạc.
Với những kết quả đã có được qua quá trình nghiên cứu, khả năng thiết kế chế tạo
một thiết bị thông tin vô tuyến số đa chức năng là có thể. Với lý do đó em đăng ký
luận văn với nội dung: “NGHIÊN CỨU THIẾT KẾ BỘ PLL SỐ VÀ ỨNG
DỤNG”.

8


Luận văn đã tập trung nghiên cứu cơ sở lý thuyết và các giải pháp kỹ thuật,
các ứng dụng của PLL số, xây dựng các chỉ tiêu kỹ thuật và tham gia nghiên cứu
chế tạo sản phẩm. Kết quả đạt được là nhờ sự giúp đỡ tận tình của thầy giáo
PGS.TS Vũ Văn Yêm, các thầy giáo trong Viện điện tử viễn thông – Đại học Bách
khoa Hà Nội đã tạo điều kiện giúp đỡ. Em xin trân trọng cảm ơn các thầy, cô đã tạo
điều kiện thuận lợi, có những ý kiến đóng góp quý báu trong quá trình thực hiện
luận văn.

9


Chương 1: TỔNG QUAN
1.1 Khái quát về vô tuyến số
1.1.1 Giới thiệu tổng quan
Vô tuyến số là lĩnh vực mới cho công nghiệp máy vô tuyến. Với lợi ích đem
lại nó bắt đầu được áp dụng trong những sản phẩm thương mại và quân sự. Những
lợi thế về công nghệ vô tuyến số đã cách mạng hoá việc thiết kế, sản xuất, triển khai
và sử dụng các hệ thống, thiết bị vô tuyến. Việc thiết kế thành công các hệ thống vô
tuyến số đã mở ra một khía cạnh mới trong lĩnh vực thông tin liên lạc, trực tiếp hoặc

gián tiếp góp mặt trong rất nhiều lĩnh vực ứng dụng thiết thực của thông tin liên lạc.
Hệ thống vô tuyến số xét cả phần cứng, phần mềm thì chúng được xây dựng
trên cơ sở ứng dụng các linh kiện tích hợp cao như FPGA, DSP, các bộ chuyển đổi
tín hiệu số - tương tự (DAC), tương tự - số (ADC) và các kỹ thuật áp dụng trong xử
lý tín hiệu số, kỹ thuật lập trình mô tả phần cứng.
Sự phát triển của các bộ xử lý tín hiệu số, các công cụ thiết kế lập trình trên
các ngôn ngữ bậc cao cho phép mở ra sự phát triển của các hệ thống vô tuyến số
thực tế. Khả năng xử lý, tốc độ của hệ thống được nâng lên trong khi kích thước và
khối lượng của thiết bị giảm nhỏ rất nhiều do các linh kiện có độ tích hợp cao. Phần
mềm hệ thống có chức năng xử lý tín hiệu chung từ phần băng gốc. Sau đó qua
chuyển đổi từ dạng số - tương tự (DAC) sang phần chức năng của phần cứng.

Hình 1.1: Sự chuyển phổ tín hiệu khi không sử dụng tần số trung tần
Hiện nay, công nghệ cho phép chế tạo các bộ chuyển đổi ADC và DAC tốc
độ cao nên có thể không cần sử dụng đến tần số trung tần. Cấu trúc thiết bị khi đó
rất đơn giản, thiết bị sẽ không sử dụng tần số trung tần (Zero-IF) tức là điều chế trực
10


tiếp từ tín hiệu băng gốc lên băng tần công tác đến hàng trăm MHz và ngược lại
chuyển trực tiếp tín hiệu hàng trăm MHz về tín hiệu giải điều chế băng gốc. Phổ tín
hiệu được biểu diễn như hình 1.1.
1.1.2 Các kiến trúc thiết bị vô tuyến số
1.1.2.1 Cấu trúc vô tuyến số đổi tần tực tiếp (Zero-IF)
Khả năng về công nghệ hiện nay cho phép chế tạo các bộ chuyển đổi ADC
và DAC tốc độ cao nên các máy vô tuyến sóng ngắn và một phần dải sóng ngắn có
thể không sử dụng tần số trung gian mà thực hiện chuyển trực tiếp từ tín hiệu tần số
vô tuyến xuống thành tín hiệu băng gốc. Với cấu trúc này bộ dao động LO và các
bộ lọc sẽ được thực hiện ngay trên FPGA.


Hình 1.2: Cấu trúc vô tuyến số đổi tần tực tiếp(Zero-IF)
Do mức độ tích hợp cao nên kích thước và khối lượng giảm, đồng thời cho
phép hạn chế sử dụng các linh kiện rời rạc khó kiểm soát hết các tham số tạp ký
sinh cũng là một ưu điểm của cấu trúc này.
1.1.2.2 Cấu trúc vô tuyến số sử dụng tần số trung tần
Cấu trúc hệ thống vô tuyến số có thể thực hiện theo cách vẫn sử dụng tần số
trung tần. Ở tần số rất cao, tín hiệu sau quá trình trộn tần và lọc mới được xử lý và
giải điều chế theo dạng số trên các vi mạch FPGA, DSP. Nhờ sử dụng tần số trung
tần mà các yêu cầu được giảm nhẹ cho phần xử lý tín hiệu và các bộ chuyển đổi
ADC và DAC. Cấu trúc này thường áp dụng cho các thiết bị tần số cao như vô
tuyến VHF, vi ba, trạm gốc cho thông tin di động...

11


Hình 1.3: Cấu trúc vô tuyến số sử dụng tần số trung tần
Như trên đã trình bày, trong cấu trúc của một thiết bị vô tuyến số thì phần
chuyển đổi ADC, DAC có vai trò quan trọng, yêu cầu đối với chúng không chỉ là
tốc độ chuyển đổi mà phải có độ chính xác, sai số do lượng tử hoá hay xấp xỉ hoá
phải ở mức cho phép. Ngoài sử dụng các bộ xử lý tín hiệu số DSP thì cấu trúc cơ
bản của một thiết bị vô tuyến số còn có các phần chức năng quan trọng khác như:
các mạch cao tần phải đảm bảo độ tuyến tính trên một dải tần rộng, chuyển chế độ
nhanh và khuyếch đại công suất cho nhiều sóng mang khác nhau, cho các dạng tín
hiệu khác nhau, các bộ trộn tần lên/xuống số hoá: DUC, DDC, các bộ lọc số, các
mạch cân bằng… cũng cần phải được thiết kế để đảm bảo yêu cầu hoạt động trên
dải tần rộng với sự đa dạng của chế độ công tác. Sự tích hợp cao giúp mang lại hiệu
quả thiết kế cao hơn, nhanh hơn. Làm cho thiết bị thêm các chức năng mới mà lại
có kích thước nhỏ gọn, linh hoạt. Tăng khả năng thay thế và lắp lẫn nhau. Điều đó
mang lại cả hiệu quả kinh tế và hiệu quả sử dụng cho thiết bị vô tuyến số.
1.2 Tổng quan về PLL và DPLL

1.2.1 Tổng quan về PLL
ω0

ω

ΔωDK

Hình 1.4: Sơ đồ khối chung của bộ THTS dùng vòng khóa pha PLL

12


Vòng khóa pha PLL là khối mạch được xây dựng đặc biệt linh hoạt, được sử
dụng cho nhiều ứng dụng tần số vô tuyến và theo đó PLL được tìm thấy trong nhiều
máy thu phát vô tuyến cũng như nhiều thiết bị khác.
Hoạt động của vòng khóa pha PLL dựa trên nguyên tắc so sánh pha của 2 tín
hiệu, thông tin về lỗi pha hay sự khác nhau về pha giữa 2 tín hiệu được sử dụng để
điều khiển tần số của vòng.
Sơ đồ khối chung của bộ THTS dùng vòng khóa pha PLL, hình 1.4.
Một vòng khóa pha PLL bao gồm 3 thành phần cơ bản là:
- Bộ so sánh pha (Phase Comparator): Khối mạch này nằm trong PLL so
sánh pha của 2 tín hiệu và tạo ra điện áp thay đổi theo sự khác pha giữa 2 tín hiệu
đó.
- Bộ tạo dao động được điều khiển bằng điện áp VCO (Voltage Controlled
Oscillator): Là khối mạch tạo ra tín hiệu tần số vô tuyến đầu ra. Tần số của nó được
điều khiển trên dải tần hoạt động của vòng khóa pha.
- Bộ lọc vòng: Bộ lọc này được sử dụng để lọc đầu ra từ bộ so sánh pha trong
PLL. Nó được sử dụng để thay đổi một số thành phần của những tín hiệu từ VCO
mà pha của nó đang được so sánh. Bộ lọc này cũng chi phối nhiều đặc tính của
vòng khóa pha và độ ổn định của nó.

- Bộ tạo dao động VCO trong PLL tạo ra một tín hiệu đưa tới bộ tách sóng
pha, ở đây pha của tín hiệu từ VCO và tín hiệu tham chiếu được so sánh với nhau và
kết quả là tạo ra điện áp hiệu số hay sai lệch tương ứng cho sự khác nhau về pha đó.
Tín hiệu sai lệch từ bộ tách sóng pha đi qua bộ lọc thông thấp, tạo ra điện áp điều
chỉnh đưa tới VCO. Mức cảm biến với bất kỳ sự thay đổi nào của điện áp sai lệch
này nhằm làm giảm sự khác nhau về pha và do đó giảm sự khác nhau về tần số giữa
2 tín hiệu. Ban đầu vòng lặp chưa bị khóa, điện áp sai lệch sẽ kéo tần số của VCO
tới giá trị danh định cho đến khi không thể giảm sự sai lệch này hơn được nữa và
khi đó vòng lặp bị khóa.
Khi PLL đang khóa tạo ra điện áp sai lệch cố định. Bằng việc sử dụng một
bộ khuếch đại giữa bộ tách sóng pha và VCO, độ sai lệch thật sự giữa các tín hiệu

13


được giảm đến mức nhỏ nhất. Điện áp sai lệch ổn định có nghĩa là biểu diễn sự khác
nhau về pha giữa tín hiệu tham chiếu và VCO không thay đổi. Khi sự khác nhau về
pha giữa 2 tín hiệu này không thay đổi có nghĩa là 2 tín hiệu này có tần số chính xác
giống nhau.
1.2.2 Tổng quan về DPLL
Sơ đồ khối của một DPLL được thể hiện như hình dưới:

Hình 1.5: Sơ đồ khối của một DPLL
1.2.2.1 Tách sóng pha (PD)
Phát hiện sai pha giữa đầu vào và đầu ra tín hiệu từ NCO. Thao tác này được
làm bởi mô đun nhân. Đầu vào tín hiệu là tần số được điều chế Vi(n) được biểu diễn
như sau:
(1.1)

Vi ( n)  sin( i n   i )


Cơ chế vòng phản hồi của PLL sẽ làm cho NCO phát tín hiệu hình sin Vo(n)
với tần số giống vủa Vi(n):
(1.2)

V0 ( n )  cos( i n   0 )

Đầu ra của bộ tách sóng pha là sản phẩm của 2 tín hiệu. Sử dụng biến đổi
lượng giác ta thu được:
Vd ( n )  K d sin( i n   i ) cos( i n   0 )



Kd
sin(2i n  i  0 )  sin(i  0 )
2

14

(1.3)


Kd là hệ số của bộ tách sóng pha, ký hiệu đầu tiên tương ứng với thành phần
tần số cao, ký hiệu tiếp theo tương ứng với sự sai pha giữa Vi(n) và Vo(n). Bằng
cách loại bỏ thành phần đầu tiên bằng bộ lọc, sự khác pha có thể được xác định.
Sơ đồ khối của bộ tách sóng pha là bộ nhân:

Hình 1.6: Sơ đồ khối của bộ tách song pha
- Input1 là fmin (dữ liệu được điều chế), input2 là đầu ra của NCO;
- Khối delay để đồng bộ thao tác;

- Đầu ra sẽ là 16 bit, sau đó ta sẽ lựa chọn bằng cách cắt bớt 8 bit.
Trong mô hình Verylog ta dùng giải thuật nhân Booth thay cho thao tác nhân
toán học *, vì bộ nhân toán học sẽ chiếm rất nhiều tài nguyên, trong khi giải thuật
nhân Booth cho phép nhân 8 bit chỉ cần 8 bộ cộng 8 bit mà đã được lưu trong vùng
tiêu thụ. Giải thuật này như hình sau:

Hình 1.7: Giải thuật nhân Booth
Từng tích riêng phần được xác định từ số bị nhân có thể là: được thêm vào,
giảm đi, hay có thể không thay đổi theo các quy tắc sau:

15


- Số bị nhân được trừ cho tích riêng phần khi gặp bit 1 đầu tiên trong chuỗi
bit 1 ở số nhân.
- Số bị nhân được cộng với tích riêng phần dựa trên việc đưa vào bit 0 đầu
tiên với điều kiện không có bit 1 trước đó trong chuỗi bit 0 của số nhân.
- Tích riêng phần không đổi khi bit bằng với bit trước đó của số nhân.
1.2.2.2 Lọc vòng
Lọc vòng sẽ loại bớt thành phần tần số cao, hình 1.8 cho thấy sơ đồ khối của
một lọc vòng bậc đầu tiên sử dụng trong hệ thống thu. Trong mô hình Verylog của
khối này ta cần dành giải quyết ký hiệu <8,0,t> thành <12,4,t> và nhân với hằng số
15/16:
- Đầu vào C là đầu ra của bộ nhân trong khuôn dạng <8,0,t>. Đầu ra là
D1<12,4,t>. D1 sẽ nhân với 15/16 sau đó kết quả được tổng hợp trở lại C.
- dtemp<12,4,t> là tín hiệu bên trong, là kết quả tổng hợp của C và D1. C
phải được thay đổi thành <12,4,t> trước khi tổng hợp:

- dtemp sẽ được gán thành D1, sau đó dtemp X 15/16 = dtemp X (1-15/16) =
dtemp – (dtemp X 1/16) = dtemp – E.

- E = dtemp X 1/16, trong thực tế nhân 1/16 có thể được thực hiện chỉ với
thao tác dịch phải 4 bit.

Hình 1.8: Bộ lọc vòng bậc một
16


Bộ lọc vòng bậc một là bộ lọc thông thấp với hàm số truyền
H ( z) 

Y ( z) 
1



X ( z )  z  0.9375 

(1.4)

Có một điểm cực trên trục thực tại z = 0.9375, từ thuộc tính ổn định của bộ
lọc thời gian rời rạc, ta biết rằng H(z) được định vị bên trong vòng tròn đơn vị.
1.2.2.3 Bộ dao động được điều khiển số
Bộ dao động được điều khiển số (NCO) sẽ lấy điện áp chênh lệch để hiệu
chỉnh (Vd(n)) và sau đó sẽ chuyển tần số đầu ra của nó từ giá trị free_running thành
tín hiệu đầu vào tần số ωi và như vậy giữ PLL trong trạng thái khoá.

Hình 1.9: Bộ dao động điều khiển số
Ở đây ta giả thiết tần số free_running của NCO là 1 MHz và tần số clock hệ
thống là 16 MHz. Có 16 điểm lấy mẫu trong một chu kỳ của tần số 1 MHz
free_running. Khi đầu vào là 0, NCO có tần số đầu ra bằng với tần số free_running

vì thế offset phải là 1/16. Đầu vào lớn hơn sẽ cung cấp tần số lớn hơn. Hệ thống này
là một bộ tích phân đơn giản giá trị đầu vào được tích lũy và ánh xạ lên ROM.1024
giá trị được cho để định nghĩa một chu kỳ của tín hiệu cosin. Nhưng ta không thực
sự cần sử dụng toàn bộ giá trị này. Một chu kỳ sin có thể được chia làm 4 quarter, ta
chỉ cần định nghĩa quarter đầu tiên với 257 giá trị, các giá trị còn lại được sao lại từ
quarter đầu tiên. Minh hoạ trong hình 1.10:
- Đầu vào D2 và offset được thêm vào, chú ý rằng tín hiệu được mở rộng từ
<12,-6,t> thành <18,0,u>.
- Kết quả bộ cộng được tích luỹ bằng mô đun tích luỹ, sau đó ta có 10 bit địa
chỉ ROM.
17


- Địa chỉ này sẽ được ánh xạ tới dữ liệu trong ROM.

Hình 1.10: Lấy mẫu trong một chu kỳ sin
1.2.2.4 Bộ loc FIR

Hình 1.11: Sơ đồ khối bộ lọc FIR
Giai đoạn cuối cùng của hệ thống thu là thực hiện hình thành tín hiệu. Ở đây
ta dùng bộ lọc FIR 16 tap để làm bộ lọc thông thấp số. Trong cấu hình này ta cần
16 hệ số nhưng sự đơn giản hoá được thực hiện bằng cách giả thiết toàn bộ các hệ
số là giống nhau (1/16), trong thực tế nhân 1/16 có thể được thực hiện bằng cách
dịch phải 4 bit mà không cần bộ nhân nào.
1.3 Kết luận chương 1
Với nhiều ứng dụng quan trọng trong thông tin liên lạc, vô tuyến cấu hình
mềm thực sự đã mang lại một bước đột phá mới trong công nghệ thông tin vô tuyến.
Nó mang lại lợi nhuận nhiều hơn khi kéo dài thời gian sử dụng của phần cứng, tăng
18



cường các dịch vụ trong hệ thống, dễ dàng hơn cho các nhà sản xuất trong việc bảo
vệ bản quyền thương mại sản phẩm, giảm giá thành chế tạo, rút ngắn thời gian sản
xuất thiết bị, thuận tiện trong kết nối hoặc thay thế các thiết bị. Vô tuyến cấu hình
mềm tạo điều kiện thuận lợi hơn trong cả quá trình thiết kế, chế tạo triển khai và sử
dụng, ứng dụng trong nhiều lĩnh vực khác nhau của hệ thống thông tin vô tuyến.
Chương 1 đã giới thiệu được tổng quan về vô tuyến cấu hình mềm, cấu trúc vòng
khóa pha DPLL với các mô đun chức năng. Chương 2 sẽ trình bày nội dung thiết kế
DPLL cho bộ giải điều chế tín hiệu số QPSK.

19


Chương 2: THIẾT KẾ DPLL
2.1 Điều chế và giải điều chế QPSK
2.1.1 Tổng quan điều chế và giải điều chế QPSK
Hai tín hiệu băng tần gốc độc lập có thể được truyền dẫn với cùng băng tần
số. Theo phương thức điều chế này thì đường bao của sóng cosin được điều chế bởi
một tín hiệu g1(t) và đường bao của sóng sin được điều chế bởi một tín hiệu g2(t).
Hàm thời gian của tín hiệu đã điều chế là:
S (t )  g1 (t ) cos t  g 2 (t ) sin t

(2.1)

Hai thành phần của S(t) ở đây ta gọi là A(t) và B(t). Sơ đồ khối điều chế cầu
phương như sau:

A(t )
sin t


A(t ) sin t
A(t ) sin t  B (t ) cos t


  2f

cos t

B(t ) cos t
B(t )
Hình 2.1: Sơ đồ khối điều chế cầu phương
Bộ điều chế gồm một mạch cộng, hai mạch nhân, một mạch di pha 90o và
một bộ tạo dao động sóng mang.
Cả hai mạch nhân đều là mạch điều biên nén sóng mang. Tín hiệu điều chế là
hai dãy tín hiệu riêng biệt A(t) và B(t). Hai tần số sóng mang của bộ điều chế giống
nhau, nhưng lệch pha nhau 90o. Nếu tín hiệu điều chế A(t) và B(t) được giới hạn
băng tần số giới hạn trên “H” thì trên hai đầu ra của bộ điều chế ta được từng tín
hiệu có độ rộng là 2H xung quanh tần số mang f. Tổng của hai tín hiệu bao gồm dải
tần số giống như điều biên hai bên như vậy QAM có thể truyền đưa gấp 2 lần tín
hiệu như ở trường hợp điều biên thông thường trong khi phổ tần như nhau.

20


A(t )

sin t

A(t )
sin t


A(t ) sin t

A(t ) sin t

B (t )

cos t

B (t )
cos t

B (t ) cos t

B (t ) cos t

A(t ) sin t  B (t ) cos t

Hình 2.2: Các dạng tín hiệu và phổ của chúng
Tín hiệu điều chế pha M mức có thể xác định bởi công thức:
si (t )  A. cos( 2f c t   i )

0t T

21

(2.2)


trong đó: i 


(2i 1)
, với i  1,.2..., M ; A là hằng số, fc là tần số sóng mang,  i là
M

góc pha ban đầu, T là thời gian tồn tại của một symbol.
Khai triển biểu thức ta có:
si (t )  A cos  i cos 2f c t  A sin  i cos 2f c t

= si1 1 (t ) + s i 2  2 (t )

(2.3)

trong đó:
T

s i1 =  si (t )1 (t )dt = E cos  i

(2.4)

0

T

s i 2 =  s i (t ) 2 (t ) dt = E sin  i

(2.5)

0




E=

1 2
AT
2

(2.6)

Pha có quan hệ với s i1 và s i 2 như sau:
 i = tan

si 2
s i1

(2.7)

Constellation của tín hiệu MPSK gồm 2 thành phần, mỗi tín hiệu s i (t ) được
biểu diễn bởi một điểm ( s i1 , s i 2 ) trong tọa độ mở rộng bởi 1 (t ) và  2 (t ) . Toạ độ
cực của tín hiệu là ( E ,  i ). Trong đó biên độ là

E và góc lệch so với trục ngang

là  i . Những điểm tín hiệu nằm trên đường tròn bán kính

E và tâm nằm ở gốc toạ

độ. Những điểm tín hiệu được ánh xạ tùy ý tạo thành ánh xạ một - một. Phương
pháp này gọi là mã hoá Gray thường được sử dụng trong điều chế tín hiệu M-PSK.

Mã Gray chỉ ra n-tuples với độ sai khác một bit giữa 2 tín hiệu lân cận trong
constellation. Khi xảy ra lỗi symbol M mức, việc phát hiện ra tín hiệu giống như tín
hiệu lân cận trên constellation, vì thế chỉ có một trong n bit đầu vào bị lỗi. Hình 2.3
là constellation của 8-PSK trong đó mã Gray được sử dụng cho việc chuyển đổi bit.

22


Hình 2.3: Constellation của 8-PSK
Ta thấy rằng: BPSK và QPSK là trường hợp đặc biệt của M-PSK với M = 2
và M = 4. Trên toàn trục thời gian chúng ta có thể biểu diễn tín hiệu MPSK:

s(t ) = s1 (t ) cos 2f c t - s 2 (t ) sin 2f c t -  < t< 

(2.8)

với


s1 (t ) = A  cos( k ) p(t  kT )

(2.9)

k  


s 2 (t )  A  sin( k ) p(t  kT )

(2.10)


k  

Trong đó  k là một trong M mức quyết định bởi đầu vào nhị phân độ dài ntuple, p(t ) là xung vuông có biên độ nằm trong khoảng [ 0, T ]. Tần số sóng mang là
bội số nguyên của thời gian symbol vì thế pha ban đầu của tín hiệu trong chu kỳ
symbol là  k .
Tín hiệu MPSK gồm 2 chiều, khi M  4 thì điều chế có thể thực hiện bằng
phép điều chế cầu phương (quadrature modulator). Sơ đồ điều chế MPSK được
biểu diễn trên hình sau:

23


Mixer
cos 2f c t

S1 ( t )T  nTb

N bit đầu vào
( S i1 , S i 2 )

Dao động



Tín hiệu MPSK

90 0

 sin 2f c t
S 2 (t )T  nTb


Mixer

Hình 2.4: Sơ đồ điều chế MPSK
Mỗi vectơ chiều dài n của dãy bit đầu vào được sử dụng để điều khiển mức
phát. Nó cung cấp cho kênh đồng pha I (inphase) và kênh vuông pha Q
(quadrature) những tín hiệu đặc biệt và mức tín hiệu trên trục toạ độ nằm ngang và
thẳng đứng một cách tương ứng. Trong điều chế QPSK thì mức phát cụ thể chỉ là
bộ biến đổi nối tiếp - song song (serial-to-parallel). Với sự phát triển của khoa học
công nghệ đã dần sử dụng các thiết bị số hoàn thiện. Trên môi trường nhất định, tín
hiệu MPSK là các tín hiệu số kết hợp và được đưa vào bộ biến đổi số/tương tự
(D/A) kết quả đầu ra là tín hiệu điều chế pha mong muốn.
2.1.2 Điều chế QPSK
Điều chế PSK là một phương thức hiệu quả nhất để truyền tín hiệu số. Có
thể nói PSK là phương pháp điều chế triệt sóng mang do đó băng thông của tín hiệu
PSK nhỏ.
Để tăng hiệu quả sử dụng băng tần, hai bit liên tiếp từ chuỗi bit dữ liệu a(n)
có thể được nhóm lại để tạo thành một symbol mới b(m) bằng chuyển đổi nối
tiếp/song song. Kết quả là tốc độ symbol giảm còn fbit/2. Các symbol mới này được

24


gọi là các dibit. Việc điều chế đòi hỏi có M = 22 tín hiệu RF, mỗi tín hiệu ứng với
một pha khác nhau. M = 22 symbol b(m) có thể có được ánh xạ lên các tín hiệu này.
Bộ điều chế I/Q là một bộ điều chế tốt nhất được sử dụng, hình vẽ sau minh
hoạ cấu trúc cơ bản của bộ điều chế I/Q :




90 0

Hình 2.5: Cấu trúc cơ bản bộ điều chế I/Q
Trước hết, nó chia tín hiệu RF chưa điều chế ra hai thành phần. Thành phần
cầu phương (Q) được dịch pha đi 900 so với thành phần cùng pha (I). Do đó thành
phần cùng pha chưa điều chế được mô tả bằng cos[2 f ct ] còn thành phần vuông
pha chưa điều chế được mô tả bởi  s in[2 f ct ] . Cả hai thành phần này được đưa tới
các bộ trộn, ở đó chúng được nhân với các tín hiệu (dùng để) điều chế cI(t) và cQ(t);
cI(t) và cQ(t) được lấy từ chuỗi symbol b(m). Các tích cI(t). cos[2 f ct ] và
cQ(t).[  s in[2 f ct ] ] được cộng với nhau tạo thành tín hiệu RF đã điều chế. Quá
trình điều chế suy biến thành việc ánh xạ chuỗi symbol b(m) lên hai thành phần
băng gốc. Hai bit liên tiếp nhau được kết hợp ở dạng một dibit có thể mô tả một
trong M = 22 = 4 symbol. Các symbol này được ánh xạ lên các pha i  {450, 1350,
2250, 3150} của tín hiệu băng gốc hoặc lên bốn tín hiệu miền thời gian



si (t )  A.cos[2 fct   2i  1 ] với i  {0, 1, 2, 3}. Trong trường hợp QPSK không
4

có lọc và có lọc, phổ tín hiệu QPSK như hình vẽ sau:
25


×