Tải bản đầy đủ (.docx) (15 trang)

Đồ án robot dò đường

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (413.28 KB, 15 trang )

Đồ án tích hợp 1
MỤC LỤC
LỜI NÓI ĐẦU
Ngày nay, với những ứng dụng của khoa học kỹ thuật tiên tiến, thế giới
của chúng ta đã và đang ngày một thay đổi, văn minh và hiện đại hơn. Sự phát
triển của kỹ thuật điện tử đã tạo ra hàng loạt những thiết bị với các đặc điểm nổi
bật như sự chính xác cao, tốc độ nhanh, gọn nhẹ là những yếu tố rất cần thiết góp
phần cho hoạt động của con người đạt hiệu quả cao.
Các bộ điều khiển sử dụng vi điều khiển tuy đơn giản nhưng để vận hành
và sử dụng được lại là một điều rất phức tạp. Các bộ vi điều khiển theo thời gian
cùng với sự phát triển của công nghệ bán dẫn đã tiến triển rất nhanh, từ các bộ vi
điều khiển 4 bit đơn giản đến các bộ vi điều khiển 32 bit, rồi sau này là 64 bit.
Điện tử đang trở thành một ngành khoa học đa nhiệm vụ. Điện tử đã đáp ứng
được những đòi hỏi không ngừng từ các lĩnh vực công – nông – lâm – ngư
nghiệp cho đến các nhu cầu cần thiết trong hoạt động đời sống hằng ngày.
Robot có vai trò rất quan trọng trong đời sống hiện nay đặc biệt là những
ứng dụng của nó trong công nghiệp, sản xuất kinh tế, quốc phòng…. Vì vậy
chúng em chọn đề tài “ Thiết kế và thi công robot dò đường” để làm đồ án tích
hợp 1.
Mặc dù đã rất cố gắng thiết kế và làm mạch nhưng do năng lực còn hạn
chế nên mạch vẫn còn những sai sót. Em mong thầy giáo và các bạn góp ý để em
sớm hoàn thành đồ án này.
Em xin chân thành cảm ơn!
Giáo viên hướng dẫn : Đặng Văn Khanh
Sinh viên thực hiện: Nguyễn Minh Tuyền
Đào Ngọc Tuyên

NHẬN XÉT CỦA GIÁO VIÊN HƯỚNG DẪN
................................................................................................................................

SVTH: 1. NGUYỄN MINH TUYỀN


ĐÀO NGỌC TUYÊN

Trang 1


Đồ án tích hợp 1
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................
................................................................................................................................

Ngày Tháng
Năm
CHỮ KÝ GIÁO VIÊN

CHƯƠNG 1: GIỚI THIỆU ROBOT DÒ ĐƯỜNG
1. Giới thiệu các loại robot dò đường
Các loại robot lau dò đường hiện nay có những kỹ thuật dẫn đường cho
robot khác nhau. Có hai hướng chính đó là sử dụng cảm biến và sử dụng hình
ảnh.
1.1 . Robot dò đường sử dụng cảm biến
Trên thị trường có rất nhiều loại robot dò đường sử dụng cảm biến để
tránh dò đường, tất cả đều hoạt động theo nguyên tắc chung là sử dụng cảm biến
siêu âm, cảm biến hồng ngoại, cảm biến quang trở,... để nhận biết đường đi.
Ưu điểm của việc sử dụng cảm biến cho robot dò đường là tín hiệu vào ra
ở hai mức là cao và thấp lên dễ cho việc lập trình điều khiển.
1.2 . Robot dò đường sử dụng xử lý hình ảnh
Với phương án xử lý hình ảnh, chúng ta sử dụng camera để quét trên trần
nhà xử lý hình ảnh để tạo bản đồ di chuyển cho robot.
SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 2


Đồ án tích hợp 1
Tuy nhiên robot sử dụng công nghệ xử lý hình ảnh trên có nhược điểm là
không thể hoạt động trong điều kiện thiếu ánh sáng nên dần dần được người sử
dụng chuyển sang sử dụng cảm biến để dò đường cho robot làm việc.
Từ đó, chúng em sẽ chọn sử dụng cảm biến để nhận biết đường đi cho
robot.

2. Các phương án thực hiện đề tài
Dùng cảm biến quang: Ở đây ta dùng LED siêu sáng và quang trở để cảm
biến dò đường. Khi LED phát tin hiệu và có tín hiệu phản xạ thì quang trở sẽ
thay đổi điện trở phát tín hiệu vào ic opamp để so sánh rồi đưa vào vi điều khiển
để vi điều khiển xử lý rối đưa ra tín hiệu để phát lệnh điều khiển động cơ.
 Dễ bị ảnh hưởng bởi ánh sáng trắng từ môi trường xung quanh.
Dùng cảm biến hồng ngoại: mắt phát hồng ngoại sẽ phát ra sóng ánh sáng
có bước sóng hồng ngoại , ở mắt thu bình thường thì có nội trở rất lớn (khoảng
vài trăm kilo ôm ) , khi mắt thu bị tia hồng ngoại chiếu vào thì nội trở của nó
giảm xuống ( khoảng vài chục ôm).
Dùng 1 con oppam chẳng hạn để tạo các mức logic 0 và 1 bằng cách so
sánh 2 giá trị điện áp của cầu chia điện trở (ở đây ta dùng biến trở ) và điện áp
trên anot của mắt nhận hồng ngoại Nếu khi có tia hồng ngoại chiếu vào mắt nhận
thì nội trở mắt nhận giảm nên điện áp trên cực anot của mắt nhận sẽ tăng lên , khi
điện áp này lớn hơn điện áp của cầu phân áp bằng điện trở thì mức điện áp ra sẽ
là VCC ( mức logic 1) ngược lại là mức logic 0.
 Ít bị ảnh hưởng ánh sáng từ môi trường xung quanh.
Vậy chọn phương án dùng cảm biến hồng ngoại.

SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 3


Đồ án tích hợp 1

CHƯƠNG 2: GIỚI THIỆU MỘT SỐ LINH KIỆN SẼ DÙNG
2.1. Thiết kế sơ đồ khối, phân tích từng khối









Hình 2.1: Sơ đồ khối toàn mạch
Khối nguồn: Cung cấp nguồn điện cho toàn mạch hoạt động.
Khối cảm biến: Nhận biết đường đi, đưa tín hiệu vào khối điều khiển.
Khối điều khiển: Nhận biết và xử lý tín hiệu từ khối cảm biến, đồng thời
điều khiển tín hiệu ra khối động lực.
Khối động lực: Nhận biết tín hiệu từ khối điều khiển để điểu khiển hướng
đi cho robot.
2.2. Một số linh kiện sẽ dùng
2.2.1. Khối cảm biến
Giới thiệu Module Thu Phát Hồng Ngoại MH-IR01
• Một vài thong số kỹ thuật:
- Nguồn cung cấp (VCC): 3,3V – 5V
- Đâu ra: logic (0,1)
SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 4


Đồ án tích hợp 1
Kích thước: 3,2 x 1,4 cm
Đầu ra có thể kết nối trực tiếp với chân I/O của vi điều khiển
IC so sánh LM393 hoạt động ổn định

Thứ tự và chức năng các chân:
- 1: Chân cấp nguồn 5V.
- 2: Chân GND.
- 3: Chân OUT :logic (mức 1 hoặc mức 0).
-



Hình 2.2.1.a: Module Thu Phát Hồng Ngoại MH-IR01
2.2.2. Khối điều khiển
 Giới thiệu tổng quan về họ Vi điều khiển 8051.
AT89C51 là một vi điều khiển 8 bit, chế tạo theo công nghệ CMOS
chất lượng cao, công suất thấp với 4 KB PEROM (Flash Programeable and
erasable read only memory).
Các đặc điểm của 8951 được tóm tắt như sau:
- 4KB bộ nhớ, có thể lập trình lại nhanh, có khả năng ghi xóa tới 1000 chu
kỳ
- Tần số hoat động từ 0 Hz đến 24 MHz
- 3 mức khóa bộ nhớ lập trình
- 2 bộ Timer/Counter 16 bit
- 128 Byte RAM nội
- 4 Port xuất/nhập (I/O) 8 bit
- Giao tiếp nối tiếp
- 64 KB vùng nhớ mã ngoài
- 64 KB vùng nhớ dữ liệu ngoài
- Xử lý Boolean (hoạt động trên bit đơn)
SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 5



Đồ án tích hợp 1
- 210 vị trí nhớ có thể định vị bit
- 4μs cho hoạt động nhân hoặc chia
Sơ đồ khối AT89S52

Hình 2.2.2.a. Sơ đồ khối 89s52
Chức năng các chân của AT89S52
Port 0 (P0.0 – P0.7 hay chân 32 – 39): Ngoài chức năng xuất nhập ra, port
0 còn là bus đa hợp dữ liệu và địa chỉ (AD0 – AD7), chức năng này sẽ được sử
dụng khi AT89C51 giao tiếp với thiết bị ngoài có kiến trúc bus.
SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 6


Đồ án tích hợp 1
Port 1 (P1.0 – P1.7 hay chân 1 – 8): có chức năng xuất nhập theo bit và
byte. Ngoài ra, 3 chân P1.5, P1.6, P1.7 được dùng để nạp ROM theo chuẩn ISP, 2
chân P1.0 và P1.1 được dùng cho bộ Timer 2.
Port 2 (P2.0 – P2.7 hay chân 21 – 28): là một port có công dụng kép. Là
đường xuất nhập hoặc là byte cao của bus địa chỉ đối với các thiết kế dùng bộ
nhớ mở rộng.
Port 3 (P3.0 – P3.7 hay chân 10 – 17): mỗi chân trên port 3 ngoài chức
năng xuất nhập ra còn có một số chức năng đặc biệt sau:
Bit
Tên
Chức năng chuyển đổi

P3.0
RXD
Dữ liệu nhận cho port nối tiếp
P3.1
TXD
Dữ liệu truyền cho port nối tiếp
P3.2
INT0
Ngắt bên ngoài 0
P3.3
INT1
Ngắt bên ngoài 1
P3.4
T0
Ngõ vào của Timer/Counter 0
P3.5
T1
Ngõ vào của Timer/Counter 1
P3.6
WR
Xung ghi bộ nhớ dữ liệu ngoài
P3.7
RD
Xung đọc bộ nhớ dữ liệu ngoài
RST (Reset – chân 9): mức tích cực của chân này là mức 1, để reset ta phải
đưa mức 1 (5V) đến chân này với thời gian tối thiểu 2 chu kỳ máy (tương đương
2µs đối với thạch anh 12MHz.
XTAL 1, XTAL 2: AT89S52 có một bộ dao động trên chip, nó thường
được nối với một bộ dao động thạch anh có tần số lớn nhất là 33MHz, thôn
thường là 12MHz.

EA (External Access): EA thường được mắc lên mức cao (+5V) hoặc mức
thấp (GND). Nếu ở mức cao, bộ vi điều khiển thi hành chương trình từ ROM nội.
Nếu ở mức thấp, chương trình chỉ được thi hành từ bộ nhớ mở rộng.
ALE (Address Latch Enable): ALE là tín hiệu để chốt địa chỉ vào một
thanh ghi bên ngoài trong nửa đầu của chu kỳ bộ nhớ. Sau đó các đường port 0
dùng để xuất hoặc nhập dữ liệu trong nửa chu kỳ sau của bộ nhớ.
PSEN (Program Store Enable): PSEN là điều khiển để cho phép bộ nhớ
chương trình mở rộng và thường được nối với đến chân /OE (Output Enable) của
một EPROM để cho phép đọc các bytes mã lệnh. PSEN sẽ ở mức thấp trong thời
gian đọc lệnh. Các mã nhị phân của chương trình được đọc từ EPROM qua Bus
và được chốt vào thanh ghi lệnh của bộ vi điều khiển để giải mã lệnh. Khi thi
hành chương trình trong ROM nội, PSEN sẽ ở mức thụ động (mức cao).
SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 7


Đồ án tích hợp 1
Vcc, GND: AT89S52 dùng nguồn một chiều có dải điện áp từ 4V - 5.5V
được cấp qua chân 40 (Vcc) và chân 20 (GND).
2.2.3. Khối động lực
 Giới thiệu về động cơ giảm tốc.

Hình 2.2.3.a: Động cơ giảm tốc

Hình 2.2.3.b: Cấu tạo động cơ DC
Stator của động cơ điện 1 chiều thường là 1 hay nhiều cặp nam châm vĩnh
cửu, hay nam châm điện, rotor có các cuộn dây quấn và được nối với nguồn điện
một chiều.

2.2.4 Khối Nguồn
 IC 7805

Hình 2.2..4.a: IC 7805
SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 8


Đồ án tích hợp 1
Chức năng của ic7805 là ổn định điện áp đầu ra luôn bằng 5V khi đầu
vào từ 5V đến 8V.

CHƯƠNG 3:THIẾT KẾ, CHẾ TẠO MẠCH
3.1 Thiết kế mạch cho từng khối
3.1.1 Khối điều khiển trung tâm

Hình 3.1.1: Sơ đồ nguyên lý khối điều khiển
Khối điều khiển trung tâm sử dụng vi điều khiển AT89S52, qua chương
trình đã lập trình được nạp cho chip, vi điều khiển sẽ điều khiển hoạt động của
robot.
Bộ dao động thạch anh có tác dụng tạo xung nhịp với tần số 12MHz cho
VĐK hoạt động. Hai đầu này được nối vào 2chân XTAL1 và XTAL2 của VĐK.
Bộ RESET có tác dụng đưa vi điều khiển về trạng thái ban đầu. Khi nút
Reset được ấn điện áp +5V từ nguồn được nối vào chân Reset của vi điều khiển
được chạy thẳng xuống đất lúc này điện áp tại chân vi điều khiển thay đổi đột
SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN


Trang 9


Đồ án tích hợp 1
ngột về 0, VĐK nhận biết được sự thay đổi này và khởi động lại trạng thái ban
đầu cho hệ thống.
3.1.2 Khối cảm biến

Hình 3.1.2: Sơ đồ nguyên lý khối cảm biến
Mắt phát hồng ngoại sẽ phát ra sóng ánh sáng có bươc sóng hồng ngoại, ở
bên mắt thu bình thường sẽ có nội trở rất lớn ( khoảng vài trăm kilô ôm), khi
mắt thu bị tia hồng ngoại chiếu vào thì nội trở cảu nó giảm xuống ( khoảng vài
chục ôm).
Dùng ic opamp (LM393) để tạo các mức logic 0 và 1 bằng cách so sánh
hai giá trị điện áp của cầu chia điện áp( ở đây dung biến trở) và điện áp của cầu
phân áp bằng điện trở thì mức điện áp ra sẽ là VCC ( mức logic 1) ngược lại là
mức logic 0.

SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 10


Đồ án tích hợp 1
3.1.3 Khối động lực

Hình 3.1.3: Sơ đồ khối động lực
Khi vi điều khiển nhận được tín hiệu của cảm biến sẽ cấp tín hiệu cho
động cơ thong qua MOC ( phần tử cách ly giữa động cơ và khối điều khiển).

3.1.4 Khối nguồn

Hình 3.1.4: Sơ đồ nguyên lý mạch nguồn.
Nguyên lý hoạt động:
Nguồn điệnmột chiều Vm = 7.4V được lấy từ pin. Dòng điện Vm sau khi
qua IC ổn áp 7805 sẽ cho dòng điện có điện áp 5V ổn định. Sau IC 7805 ta mắc
song song với một led để báo mạch có nguồn.
3.2 Thiết sơ đồ toàn mạch
Phân tích nguyên lý toàn mạch:
Khi cấp nguồn cho robot thì mạch tạo xung bắt đầu hoạt động, cảm biến sẽ
được bật. Tín hiệu từ cảm biến sẽ truyền đến vi điều khiển. Vi điều sẽ truyền đến
vi điều khiển. Vi điều khiển sẽ xử lý tín hiệu và cung cấp tín hiệu điều khiển
động cơ.
SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 11


Đồ án tích hợp 1

SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 12


Đồ án tích hợp 1
3.3. Thiết kế mạch in


Hình 3.3: Sơ đồ mạch in

Hình 3.3.b: Sơ đồ bố trí linh kiện
SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 13


Đồ án tích hợp 1
3.4. Lưu đồ thuật toán điều khiển
START

Cảm biến

Ngắt

S

Đ

S

Đ

Đi thẳng

Rẽ phải

END


Hình 3.4: Lưu đồ thuật toán điều khiển

SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 14

Rẽ trái


Đồ án tích hợp 1
3.5 Chương trình điều khiển.
#include <REGX52.H>
sbit phai = P2^0;
sbit trai = P2^1;
void main()
{
EA=1;
// cho chep ngat hoat dong
EX0=1;
// cho phep ngat ngoai 0
EX1=1;
// cho phep ngat ngoai 1
while(1)
{
P2=0xC0;
}
}
void benphai() interrupt 0

// ngat ngoai 0
{
phai = 1 ;
trai = 0 ;
}
void bentrai() interrupt 2
// ngat ngoai 1
{
trai = 1 ;
phai = 0 ;
}

CHƯƠNG 4: KẾT LUẬN
Những kết quả đạt được
Đồ án cơ bản hoàn thành về các yêu cầu thiết kế và ứng dụng, robot có thể
dò đường trên đường cong.
2. Những hạn chế, khó khăn.
Do hạn chế về thời gian và kiến thức nên đồ án của nhóm em vẫn còn một
hạn chế như: khả năng chính xác chưa cao, tốc độ chưa cao,….
1.

SVTH: 1. NGUYỄN MINH TUYỀN
ĐÀO NGỌC TUYÊN

Trang 15



Tài liệu bạn tìm kiếm đã sẵn sàng tải về

Tải bản đầy đủ ngay
×