Tải bản đầy đủ (.pdf) (650 trang)

Hanbook of thin film deposition processes and techiques principles methods equipment and applicaiton

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (5.9 MB, 650 trang )

HANDBOOK OF THIN-FILM
DEPOSITION PROCESSES AND
TECHNIQUES
Principles, Methods, Equipment and
Applications

Second Edition
Edited by

Krishna Seshan
Intel Corporation
Santa Clara, California

NOYES PUBLICATIONS
WILLIAM ANDREW PUBLISHING
Norwich, New York, U.S.A.


Copyright © 2002 by Noyes Publications
No part of this book may be reproduced or
utilized in any form or by any means, electronic or mechanical, including photocopying,
recording or by any information storage and
retrieval system, without permission in writing
from the Publisher.
Library of Congress Catalog Card Number: 2001135178
ISBN: 0-8155-1442-5
Printed in the United States
Published in the United States of America by
Noyes Publications / William Andrew Publishing
13 Eaton Avenue
Norwich, NY 13815


1-800-932-7045
www.williamandrew.com
www.knovel.com
10 9 8 7 6 5 4 3 2 1

Library of Congress Cataloging-in-Publication Data
Handbook of Thin-Film Deposition Processes and Techniques / [edited]
by Krishna Seshan. -- 2nd edition
p. c m .
Includes bibliographical references and index.
ISBN 0-8155-1442-5
1. Thin film devices -- Design and construction -- Handbooks,
manuals, etc. I. Seshan, Krishna. II. Title.
TK7872.T55H36
2001135178
621.381'72--dc19
CIP

NOTICE
To the best of our knowledge the information in this publication is
accurate; however the Publisher does not assume any responsibility
or liability for the accuracy or completeness of, or consequences
arising from, such information. This book is intended for informational
purposes only. Mention of trade names or commercial products does
not constitute endorsement or recommendation for use by the Publisher.
Final determination of the suitability of any information or product
for use contemplated by any user, and the manner of that use, is the
sole responsibility of the user. We recommend that anyone intending
to rely on any recommendation of materials or procedures mentioned
in this publication should satisfy himself as to such suitability, and

that he can meet all applicable safety and health standards.


MATERIALS SCIENCE AND PROCESS TECHNOLOGY SERIES
Series Editors
Gary E. McGuire, Microelectronics Center of North Carolina
Stephen M. Rossnagel, IBM Thomas J. Watson Research Center
Rointan F. Bunshah, University of California, Los Angeles (1927–1999), founding editor

Electronic Materials and Process Technology
CHARACTERIZATION OF SEMICONDUCTOR MATERIALS, Volume 1: edited by Gary E.
McGuire
CHEMICAL VAPOR DEPOSITION FOR MICROELECTRONICS: by Arthur Sherman
CHEMICAL VAPOR DEPOSITION OF TUNGSTEN AND TUNGSTEN SILICIDES: by John E.
J. Schmitz
CHEMISTRY OF SUPERCONDUCTOR MATERIALS: edited by Terrell A. Vanderah
CONTACTS TO SEMICONDUCTORS: edited by Leonard J. Brillson
DIAMOND CHEMICAL VAPOR DEPOSITION: by Huimin Liu and David S. Dandy
DIAMOND FILMS AND COATINGS: edited by Robert F. Davis
DIFFUSION PHENOMENA IN THIN FILMS AND MICROELECTRONIC MATERIALS: edited by
Devendra Gupta and Paul S. Ho
ELECTROCHEMISTRY OF SEMICONDUCTORS AND ELECTRONICS: edited by John
McHardy and Frank Ludwig
ELECTRODEPOSITION: by Jack W. Dini
HANDBOOK OF CARBON, GRAPHITE, DIAMONDS AND FULLERENES: by Hugh O.
Pierson
HANDBOOK OF CHEMICAL VAPOR DEPOSITION, Second Edition: by Hugh O. Pierson
HANDBOOK OF COMPOUND SEMICONDUCTORS: edited by Paul H. Holloway and Gary
E. McGuire
HANDBOOK OF CONTAMINATION CONTROL IN MICROELECTRONICS: edited by Donald

L. Tolliver
HANDBOOK OF DEPOSITION TECHNOLOGIES FOR FILMS AND COATINGS, Second
Edition: edited by Rointan F. Bunshah
HANDBOOK OF HARD COATINGS: edited by Rointan F. Bunshah
HANDBOOK OF ION BEAM PROCESSING TECHNOLOGY: edited by Jerome J. Cuomo,
Stephen M. Rossnagel, and Harold R. Kaufman
HANDBOOK OF MAGNETO-OPTICAL DATA RECORDING: edited by Terry McDaniel and
Randall H. Victora
HANDBOOK OF MULTILEVEL METALLIZATION FOR INTEGRATED CIRCUITS: edited by
Syd R. Wilson, Clarence J. Tracy, and John L. Freeman, Jr.
HANDBOOK OF PLASMA PROCESSING TECHNOLOGY: edited by Stephen M. Rossnagel,
Jerome J. Cuomo, and William D. Westwood
HANDBOOK OF POLYMER COATINGS FOR ELECTRONICS, Second Edition: by James
Licari and Laura A. Hughes
HANDBOOK OF REFRACTORY CARBIDES AND NITRIDES: by Hugh O. Pierson
HANDBOOK OF SEMICONDUCTOR SILICON TECHNOLOGY: edited by William C. O’Mara,
Robert B. Herring, and Lee P. Hunt

v


vi

Series

HANDBOOK OF SEMICONDUCTOR WAFER CLEANING TECHNOLOGY: edited by Werner
Kern
HANDBOOK OF SPUTTER DEPOSITION TECHNOLOGY: by Kiyotaka Wasa and Shigeru
Hayakawa
HANDBOOK OF THIN FILM DEPOSITION PROCESSES AND TECHNIQUES, Second Edition:

edited by Krishna Seshan
HANDBOOK OF VACUUM ARC SCIENCE AND TECHNOLOGY: edited by Raymond L.
Boxman, Philip J. Martin, and David M. Sanders
HANDBOOK OF VLSI MICROLITHOGRAPHY, Second Edition: edited by John N. Helbert
HIGH DENSITY PLASMA SOURCES: edited by Oleg A. Popov
HYBRID MICROCIRCUIT TECHNOLOGY HANDBOOK, Second Edition: by James J. Licari
and Leonard R. Enlow
IONIZED-CLUSTER BEAM DEPOSITION AND EPITAXY: by Toshinori Takagi
MOLECULAR BEAM EPITAXY: edited by Robin F. C. Farrow
NANOSTRUCTURED MATERIALS: edited by Carl. C. Koch
SEMICONDUCTOR MATERIALS AND PROCESS TECHNOLOGY HANDBOOK: edited by
Gary E. McGuire
ULTRA-FINE PARTICLES: edited by Chikara Hayashi, R. Ueda and A. Tasaki
WIDE BANDGAP SEMICONDUCTORS: edited by Stephen J. Pearton

Related Titles
ADVANCED CERAMIC PROCESSING AND TECHNOLOGY, Volume 1:edited by Jon G. P.
Binner
CEMENTED TUNGSTEN CARBIDES: by Gopal S. Upadhyaya
CERAMIC CUTTING TOOLS: edited by E. Dow Whitney
CERAMIC FILMS AND COATINGS: edited by John B. Wachtman and Richard A. Haber
CORROSION OF GLASS, CERAMICS AND CERAMIC SUPERCONDUCTORS: edited by
David E. Clark and Bruce K. Zoitos
FIBER REINFORCED CERAMIC COMPOSITES: edited by K. S. Mazdiyasni
FRICTION AND WEAR TRANSITIONS OF MATERIALS: by Peter J. Blau
HANDBOOK OF CERAMIC GRINDING AND POLISHING: edited by Ioan D. Marinescu, Hans
K. Tonshoff, and Ichiro Inasaki
HANDBOOK OF HYDROTHERMAL TECHNOLOGY: edited by K. Byrappa and Masahiro
Yoshimura
HANDBOOK OF INDUSTRIAL REFRACTORIES TECHNOLOGY: by Stephen C. Carniglia

and Gordon L. Barna
MECHANICAL ALLOYING FOR FABRICATION OF ADVANCED ENGINEERING MATERIALS:
by M. Sherif El-Eskandarany
SHOCK WAVES FOR INDUSTRIAL APPLICATIONS: edited by Lawrence E. Murr
SOL-GEL TECHNOLOGY FOR THIN FILMS, FIBERS, PREFORMS, ELECTRONICS AND
SPECIALTY SHAPES: edited by Lisa C. Klein
SOL-GEL SILICA: by Larry L. Hench
SPECIAL MELTING AND PROCESSING TECHNOLOGIES: edited by G. K. Bhat
SUPERCRITICAL FLUID CLEANING: edited by John McHardy and Samuel P. Sawan


Dedications
To the memory of George Narita (1928–2001):
kind, patient, wise, nurturing editor, and good friend.
To the memory of my beloved parents,
Kalpakam and P. K. Seshan.


Contributors

Suresh Bhat
Intel Corporation,
Santa Clara, CA

Martin L. Hammond
Tetron/Gemini Systems
Fremont, CA

Kenneth C. Cadien
Intel Corporation

Hillsboro, OR

Mark Keefer
KLA-Tencor Corporation
Milpitas, CA

Robert Chow
Thin Film Division
Varian Associates
Santa Clara, CA

Werner Kern
David Sarnoff Research Center
RCA Laboratories
Princeton, NJ

George J. Collins
Department of Electrical Engineering
Colorado State University
Fort Collins, CO

Walter S. Knodle
High Yield Technology, Inc.
Mountain View, CA
James J. McNally
Air Force Academy
Colorado Springs, CO

Cheri Dennison
KLA-Tencor Corporation

Milpitas, CA

John R. McNeil
Department of Electrical Engineering
University of New Mexico
Albuquerque, NM

John Foggiato
Quester Technology, Inc.
Fremont, CA
xv


xvi

Contributors

Cameron A. Moore
Department of Electrical Engineering
Colorado State University
Fort Collins, CO
Rebecca Pinto
KLA-Tencor Corporation
Milpitas, CA
Paul D. Reader
Ion Tech, Inc.
Fort Collins, CO
Stephen Rossnagel
IBM Research Division
Yorktown Heights, NY

Laura B. Rothman
IBM
Yorktown Heights, NY
Dominic J. Schepis
IBM
East Fishkill, NY
Klaus K. Schuegraf
Tylan Corporation
Carson, CA

Krishna Seshan
Intel Corporation
Santa Clara, CA
Vivek Singh
Intel Corporation
Hillsboro, OR
Lance R. Thompson
Sandia National Labs
Albuquerque, NM
James Turlo
KLA-Tencor Corporation
Milpitas, CA
Zeng-qi Yu
Colorado State University
Fort Collins, CO
John L. Zilko
Optoelectrics Division
Agere Systems
Breinigsville, PA



Foreword
Gordon E. Moore
Increasingly any references to the current technology for the manufacture of integrated circuits as “semiconductor technology” is a misnomer. By now the processing relating to the silicon itself contributes
relatively few steps to the total while the various processes associated with
the deposition and patterning of the increasing number of metal and
insulating films have grown in importance. Where the first metal-oxidetransistor circuits of the 1960’s took five masking steps to complete, and
even early silicon-gate circuits with single metal layer interconnections
took only seven, modern circuits with as many as six layers of metal take
well in excess of twenty. Not only are there more layers, but the composition of those layers is often complex. Metal conduction layers might require
barrier films to prevent inter-diffusion or to enhance adhesion. Insulators
not only isolate circuit elements electrically, but are used to prevent ions
from harming the electrical properties of the transistors. In fact, if the
technology for integrated circuit manufacture as practiced today were
named for the majority of the processing steps, the technology could
probably be more accurately described as thin-film technology.
Consistent with this change, the processing for the deposition and
patterning of films has received major research and engineering emphasis
and has evolved rapidly over the last few decades. Where in the ’60’s,
thermal oxidation or vapor deposition was sufficient for the insulators and
evaporation or sputtering of aluminum took care of the needs for conductors, a large variety of sophisticated deposition techniques have grown with
the industry. Today one can control both the electrical and mechanical

ix


x

Foreword


properties while achieving uniform and reproducible films from a few
atomic layers thick to several micrometers. The chemistry and physics of
the films are becoming increasingly better understood, but as they are, the
demands of the device designer become more stringent. For example,
where the dielectric constant of silicon oxide-based insulators was accepted as a design parameter to live with for thirty years or so, capacitance
associated with interconnections now can be a real limitation on circuit
performance. Designers want an insulator with all the good properties they
have come to love with SiO2, but with a dielectric constant as close to that
of a vacuum as possible. Similarly, with conductors no one will be happy
until we have room temperature super-conducting films in multi-layered
structures.
The simple furnaces and evaporators of yesteryear have become
multi-chamber creations of stainless steel that allow a series of processes
to be done without exposing the work to air. The lithography machines for
creating the desired precise and fine-scaled patterns now cost several
million dollars each as the industry pushes the limits of optical systems in
the continuing pursuit of performance and small size. The cumulative
investment in developing and improving processes must exceed a hundred
billion dollars by now. Such a huge investment of money and technical
talent has created a vast amount of knowledge, much of which is summarized in this volume.
The film technology developed primarily for the silicon integrated
circuit industry is finding its way into several other areas of application. It
has become a general technology for designing and constructing complex
structures, layer-by-layer. Micro-electromechanical devices (MEMs) use
the same deposition and patterning techniques. Micro-fluidic gadgets with
micro-sized pipes, valves and all the plumbing necessary to make tiny
chemical factories or analytical laboratories are increasingly important, and
again use the film technologies that grew up around semiconductor integrated circuits. Even the gene chips the biotech industry uses to speed up
their analysis come from the same bag of tricks.
This book takes a snapshot of the state of the art in various

technologies relating to thin films. It brings together in one convenient
location a collection of the research results that have been gathered by
many groups over the last few decades. It will be something that the
concerned engineer will return to time after time in the course of his or her
work. This is the forefront of science and process engineering with
important bearing on many modern industries.


Preface to the Second Edition

This book is the second edition of the popular book on thin-film
deposition by Klaus K. Schuegraf. The previous edition is more than
twelve years old. While the fundamentals have not changed, the industry
has grown enormously. We’ve included an introductory chapter, “Recent
Changes in the Semiconductor Industry,” which describes these changes.
In addition, many new manufacturing processes, like chemical mechanical
polishing (CMP), have become mature. These are among the many factors
that necessitated this new edition.
After the introductory chapter, this second edition starts with the
“Introduction and Overview,” Ch. 1 from the first edition written by W.
Kern and K. Schuegraf. This chapter contains fundamentals that have not
changed.
While the methods of growing epitaxial silicon have become much
more sophisticated, the fundamentals are still the same and this is reflected
by our inclusion of the original chapter on “Silicon Epitaxy by Chemical
Vapor Deposition” by M. L. Hammond.
Chapter 3 on “Chemical Vapor Deposition of Silicon Dioxide Films”
by J. Foggiato covers some new aspects of atmospheric and low pressure
CVD oxide deposition methods.
Chapter 4 on “Metal Organic CVD” by J. L. Zilko has been updated

with new material. These four chapters constitute the first part of the book.
A completely new chapter on “Feature Scale Modeling” by V. Singh
helps make the transition to physical deposition methods. Modeling of
xi


xii

Preface to the Second Edition

deposition processes has become mature, improving our ability to define
design rules for metal height and spacing to avoid porosity and pinholes that
later compromise reliability.
Going hand-in-hand with modeling is our ability to measure both
thickness and spacing of submicron dimensions. This has led to the growth
of many automatic and sophisticated metrology tools, and the fundamentals
behind these instruments is described in the new chapter on the “Role of
Metrology and Inspection” by M. Keefer, et al.
New metrology methods are also the backbone of “Contamination
Control, Defect Detection and Yield Enhancement” by S. Bhat and K.
Seshan, Ch. 7. The understanding of the connection between lithography
and contamination has become much more quantitative and this new
chapter deals with this subject.
A new chapter on “Sputtering and Sputter Deposition” by S. Rossnagel
and three chapters from the first edition bring together all the Physical
Vapor Deposition methods. The chapters from the first edition include Ch.
9, “Laser and E-beam Assisted Processing,” by C. Moore, et al., Ch. 10 on
“Molecular Beam Epitaxy” by W. S. Knodle and R. Chow, and Ch. 11,
“Ion Beam Deposition,” by J. R. McNeil, et al. These methods remain
central to many metal interconnect technologies.

Chapters 12 and 13 are devoted to two entirely new areas. Chapter
12, “Chemical Mechanical Polishing” by K. Cadien, deals with this method
of attaining the flatness that is required by modern lithography methods.
This technique is so central that several—if not all—layers are polished.
Chapter 13, written by K. Seshan, et al., describes new materials that are
used for interconnect dielectric materials—specifically organic polyimide
materials.
Chapter 14, “Performance, Processing, and Lithography Trends” by
K. Seshan, contains a summary of the book and a peek into the future.
The audience for this handbook is the practicing engineer in the
microelectronics industry. It will also be useful for engineers in related
industries like the magnetic memory, thin film displays, and optical interconnect industries. These industries use many of the same processes,
equipment, and analysis techniques. The book could also be used as a
supplement to graduate courses in semiconductor manufacturing.
San Jose, California
August, 2001

Krishna Seshan


Preface to the First Edition

The technology of thin film deposition has advanced dramatically
during the past 30 years. This advancement was driven primarily by the
need for new products and devices in the electronics and optical industries.
The rapid progress in solid-state electronic devices would not have been
possible without the development of new thin film deposition processes,
improved film characteristics and superior film qualities. Thin film deposition technology is still undergoing rapid changes which will lead to even
more complex and advanced electronic devices in the future. The economic impact of this technology can best be characterized by the worldwide sales of semiconductor devices, which exceeded $40 billion in 1987.
This book is intended to serve as a handbook and guide for the

practitioner in the field, as a review and overview of this rapidly evolving
technology for the engineer and scientist, and as an introduction for the
student in several branches of science and engineering.
This handbook is a review of 13 different deposition technologies,
each authored by experts in their particular field. It gives a concise
reference and description of the processes, methods, and equipment for
the deposition of technologically important materials. Emphasis is placed
on recently developed film deposition processes for application in advanced microelectronic device fabrications that require the most demanding approaches. The discussions of the principles of operation for the
deposition equipment and its suitability, performance, controls, capabilities
and limitations for production applications are intended to provide the

xiii


xiv

Preface to the First Edition

reader with basic understanding and appreciation of these systems. Key
properties and areas of application of industrially important materials
created by thin film deposition processes are described. Extensive use of
references, reviews and bibliographies provides source material for specific use and more detailed study.
The topics covered in each chapter of this book have been carefully
selected to include advanced and emerging deposition technologies with
potential for manufacturing applications. An attempt was made to compare
competing technologies and to project a scenario for the most likely future
developments. Several other deposition technologies have been excluded
since adequate recent reviews are already available. In addition, the
technology for deposition or coating of films exceeding 10 microns in
thickness was excluded, since these films have different applications and

are in general based on quite different deposition techniques.
Many people contributed and assisted in the preparation of this
handbook. My thanks go to the individual authors and their employers, who
provided detailed work and support. I am especially indebted to Werner
Kern, who provided many valuable suggestions and assisted in co-authoring
several sections of this book. Last but not least, my special thanks go to
George Narita, Executive Editor of Noyes Publications, for providing
continued encouragement and patience for the completion of all the tasks
involved.
Torrance, California
July, 1988

Klaus K. Schuegraf


Preface to the Second Edition

This book is the second edition of the popular book on thin-film
deposition by Klaus K. Schuegraf. The previous edition is more than
twelve years old. While the fundamentals have not changed, the industry
has grown enormously. We’ve included an introductory chapter, “Recent
Changes in the Semiconductor Industry,” which describes these changes.
In addition, many new manufacturing processes, like chemical mechanical
polishing (CMP), have become mature. These are among the many factors
that necessitated this new edition.
After the introductory chapter, this second edition starts with the
“Introduction and Overview,” Ch. 1 from the first edition written by W.
Kern and K. Schuegraf. This chapter contains fundamentals that have not
changed.
While the methods of growing epitaxial silicon have become much

more sophisticated, the fundamentals are still the same and this is reflected
by our inclusion of the original chapter on “Silicon Epitaxy by Chemical
Vapor Deposition” by M. L. Hammond.
Chapter 3 on “Chemical Vapor Deposition of Silicon Dioxide Films”
by J. Foggiato covers some new aspects of atmospheric and low pressure
CVD oxide deposition methods.
Chapter 4 on “Metal Organic CVD” by J. L. Zilko has been updated
with new material. These four chapters constitute the first part of the book.
A completely new chapter on “Feature Scale Modeling” by V. Singh
helps make the transition to physical deposition methods. Modeling of
xi


xii

Preface to the Second Edition

deposition processes has become mature, improving our ability to define
design rules for metal height and spacing to avoid porosity and pinholes that
later compromise reliability.
Going hand-in-hand with modeling is our ability to measure both
thickness and spacing of submicron dimensions. This has led to the growth
of many automatic and sophisticated metrology tools, and the fundamentals
behind these instruments is described in the new chapter on the “Role of
Metrology and Inspection” by M. Keefer, et al.
New metrology methods are also the backbone of “Contamination
Control, Defect Detection and Yield Enhancement” by S. Bhat and K.
Seshan, Ch. 7. The understanding of the connection between lithography
and contamination has become much more quantitative and this new
chapter deals with this subject.

A new chapter on “Sputtering and Sputter Deposition” by S. Rossnagel
and three chapters from the first edition bring together all the Physical
Vapor Deposition methods. The chapters from the first edition include Ch.
9, “Laser and E-beam Assisted Processing,” by C. Moore, et al., Ch. 10 on
“Molecular Beam Epitaxy” by W. S. Knodle and R. Chow, and Ch. 11,
“Ion Beam Deposition,” by J. R. McNeil, et al. These methods remain
central to many metal interconnect technologies.
Chapters 12 and 13 are devoted to two entirely new areas. Chapter
12, “Chemical Mechanical Polishing” by K. Cadien, deals with this method
of attaining the flatness that is required by modern lithography methods.
This technique is so central that several—if not all—layers are polished.
Chapter 13, written by K. Seshan, et al., describes new materials that are
used for interconnect dielectric materials—specifically organic polyimide
materials.
Chapter 14, “Performance, Processing, and Lithography Trends” by
K. Seshan, contains a summary of the book and a peek into the future.
The audience for this handbook is the practicing engineer in the
microelectronics industry. It will also be useful for engineers in related
industries like the magnetic memory, thin film displays, and optical interconnect industries. These industries use many of the same processes,
equipment, and analysis techniques. The book could also be used as a
supplement to graduate courses in semiconductor manufacturing.
San Jose, California
August, 2001

Krishna Seshan


Preface to the First Edition

The technology of thin film deposition has advanced dramatically

during the past 30 years. This advancement was driven primarily by the
need for new products and devices in the electronics and optical industries.
The rapid progress in solid-state electronic devices would not have been
possible without the development of new thin film deposition processes,
improved film characteristics and superior film qualities. Thin film deposition technology is still undergoing rapid changes which will lead to even
more complex and advanced electronic devices in the future. The economic impact of this technology can best be characterized by the worldwide sales of semiconductor devices, which exceeded $40 billion in 1987.
This book is intended to serve as a handbook and guide for the
practitioner in the field, as a review and overview of this rapidly evolving
technology for the engineer and scientist, and as an introduction for the
student in several branches of science and engineering.
This handbook is a review of 13 different deposition technologies,
each authored by experts in their particular field. It gives a concise
reference and description of the processes, methods, and equipment for
the deposition of technologically important materials. Emphasis is placed
on recently developed film deposition processes for application in advanced microelectronic device fabrications that require the most demanding approaches. The discussions of the principles of operation for the
deposition equipment and its suitability, performance, controls, capabilities
and limitations for production applications are intended to provide the

xiii


xiv

Preface to the First Edition

reader with basic understanding and appreciation of these systems. Key
properties and areas of application of industrially important materials
created by thin film deposition processes are described. Extensive use of
references, reviews and bibliographies provides source material for specific use and more detailed study.
The topics covered in each chapter of this book have been carefully

selected to include advanced and emerging deposition technologies with
potential for manufacturing applications. An attempt was made to compare
competing technologies and to project a scenario for the most likely future
developments. Several other deposition technologies have been excluded
since adequate recent reviews are already available. In addition, the
technology for deposition or coating of films exceeding 10 microns in
thickness was excluded, since these films have different applications and
are in general based on quite different deposition techniques.
Many people contributed and assisted in the preparation of this
handbook. My thanks go to the individual authors and their employers, who
provided detailed work and support. I am especially indebted to Werner
Kern, who provided many valuable suggestions and assisted in co-authoring
several sections of this book. Last but not least, my special thanks go to
George Narita, Executive Editor of Noyes Publications, for providing
continued encouragement and patience for the completion of all the tasks
involved.
Torrance, California
July, 1988

Klaus K. Schuegraf


Contents

Foreword by Gordon E. Moore ............................................................... ix
Preface to the Second Edition ................................................................. xi
Preface to the First Edition....................................................................xiii
Contributors

............................................................................... xv


Recent Changes in the Semiconductor Industry ....................... 1
Krishna Seshan
1.0 COST OF DEVICE FABRICATION ............................... 1
1.1 Role of Cleanliness in Cost of Equipment .............. 3
1.2 Role of Chip Size Trends, Larger Fabricators,
and 12" Wafers ........................................................ 4
1.3 Lithography, Feature Size, and Cleaner
Fabricators and Equipment ...................................... 4
1.4 Defect Density and the Need for Cleaner
Fabricators ............................................................... 5
1.5 Conclusions ............................................................. 7
2.0 TECHNOLOGY TRENDS, CHIP SIZE,
PERFORMANCE, AND MOORE’S LAW ...................... 7
2.1 Performance of Packaged Chips—Trends .............. 8
REFERENCES .......................................................................... 9

xvii


xviii Contents

1

Deposition Technologies and Applications: Introduction
and Overview ..................................................................... 11
Werner Kern and Klaus K. Schuegraf
1.0 OBJECTIVE AND SCOPE OF THIS BOOK ................ 11
2.0 IMPORTANCE OF DEPOSITION
TECHNOLOGY IN MODERN FABRICATION

PROCESSES ................................................................... 12
3.0 CLASSIFICATION OF DEPOSITION
TECHNOLOGIES ........................................................... 14
4.0 OVERVIEW OF VARIOUS THIN-FILM
DEPOSITION TECHNOLOGIES .................................. 14
4.1 Evaporative Technologies ..................................... 14
4.2 Glow-Discharge Technologies .............................. 17
4.3 Gas-Phase Chemical Processes ............................. 20
4.4 Liquid-Phase Chemical Formation........................ 25
5.0 CRITERIA FOR THE SELECTION OF A
DEPOSITION TECHNOLOGY FOR SPECIFIC
APPLICATIONS ............................................................. 28
5.1 Thin-Film Applications ......................................... 29
5.2 Material Characteristics ......................................... 30
5.3 Process Technology ............................................... 32
5.4 Thin-Film Manufacturing Equipment ................... 35
6.0 SUMMARY AND PERSPECTIVE FOR THE FUTURE . 36
ACKNOWLEDGMENTS ....................................................... 39
REFERENCES ........................................................................ 40

2

Silicon Epitaxy by Chemical Vapor Deposition .............. 45
Martin L. Hammond
1.0 INTRODUCTION ........................................................... 45
1.1 Applications of Silicon Epitaxy ............................ 46
2.0 THEORY OF SILICON EPITAXY BY CVD ................ 49
3.0 SILICON EPITAXY PROCESS CHEMISTRY ............. 52



Contents

xix

4.0 COMMERCIAL REACTOR GEOMETRIES ................ 54
4.1 Horizontal Reactor ................................................. 55
4.2 Cylinder Reactor .................................................... 56
4.3 Vertical Reactor ..................................................... 56
4.4 New Reactor Geometry ......................................... 56
5.0 THEORY OF CHEMICAL VAPOR DEPOSITION ...... 57
6.0 PROCESS ADJUSTMENTS .......................................... 60
6.1 Horizontal Reactor ................................................. 61
6.2 Cylinder Reactor .................................................... 63
6.3 Vertical Reactor ..................................................... 64
6.4 Control of Variables .............................................. 66
7.0 EQUIPMENT CONSIDERATIONS FOR
SILICON EPITAXY ....................................................... 67
7.1 Gas Control System ............................................... 68
7.2 Leak Testing .......................................................... 68
7.3 Gas Flow Control................................................... 70
7.4 Dopant Flow Control ............................................. 72
8.0 OTHER EQUIPMENT CONSIDERATIONS ................ 78
8.1 Heating Power Supplies ........................................ 78
8.2 Effect of Pressure .................................................. 78
8.3 Temperature Measurement .................................... 79
8.4 Backside Transfer .................................................. 82
8.5 Intrinsic Resistivity ................................................ 83
8.6 Phantom p-Type Layer .......................................... 84
9.0 DEFECTS IN EPITAXY LAYERS ................................ 84
10.0 SAFETY .......................................................................... 87

11.0 KEY TECHNICAL ISSUES ........................................... 87
11.1 Productivity/Cost ................................................... 87
11.2 Uniformity/Quality ................................................ 91
11.3 Buried Layer Pattern Transfer ............................... 91
11.4 Autodoping ............................................................ 96
12.0 NEW MATERIALS TECHNOLOGY FOR
SILICON EPITAXY ..................................................... 104
13.0 LOW TEMPERATURE EPITAXY .............................. 105


xx

Contents
CONCLUSIONS ................................................................... 106
REFERENCES ...................................................................... 107

3

Chemical Vapor Deposition of Silicon Dioxide Films .. 111
John Foggiato
1.0 INTRODUCTION ......................................................... 111
2.0 OVERVIEW OF ATMOSPHERIC PRESSURE
CVD ............................................................................. 112
2.1 Basis of Atmospheric Deposition ........................ 116
2.2 Parameters Affecting Chemical Reactions ......... 120
2.3 Reaction Chamber Designs ................................. 124
2.4 Process Exhaust and Particle Containment ......... 125
3.0 PLASMA ENHANCED CHEMICAL VAPOR
DEPOSITION ................................................................ 126
3.1 Deposition Rates .................................................. 127

3.2 Film Characteristics for Different Chemistries ... 132
4.0 PROPERTIES OF DIELECTRIC FILMS .................... 136
5.0 NEW DEPOSITION TECHNOLOGIES ...................... 137
5.1 Trends for CVD of Dielectric Films ................... 143
6.0 FUTURE DIRECTIONS FOR CVD OF
DIELECTRIC FILMS ................................................... 147
7.0 SUMMARY .................................................................. 148
REFERENCES ...................................................................... 149

4

Metal Organic Chemical Vapor Deposition: Technology
and Equipment ................................................................. 151
John L. Zilko
1.0 INTRODUCTION ......................................................... 151
2.0 APPLICATIONS OF MOCVD ..................................... 156
3.0 PHYSICAL AND CHEMICAL PROPERTIES
OF SOURCES USED IN MOCVD .............................. 158
3.1 Physical and Chemical Properties of
Organometallic Compounds ................................ 160
3.2 Organometallic Source Packaging ...................... 168
3.3 Hydride Sources and Packaging .......................... 171


Contents

xxi

4.0 GROWTH MECHANISMS, CONDITIONS,
AND CHEMISTRY ...................................................... 173

4.1 Growth Mechanisms ............................................ 173
4.2 Growth Conditions, Chemistry and
Materials Purity ................................................... 174
5.0 SYSTEM DESIGN AND CONSTRUCTION .............. 181
5.1 Leak Integrity and Cleanliness ............................ 181
5.2 Oxygen Gettering Techniques ............................. 182
5.3 Gas Manifold Design ........................................... 183
5.4 Reaction Chamber ............................................... 187
5.5 Exhaust and Low Pressure MOCVD ................... 193
6.0 FUTURE DEVELOPMENTS ....................................... 194
6.1 Improved Uniformity Over Larger Areas ........... 195
6.2 In-situ Diagnostics and Control ........................... 195
6.3 New Materials...................................................... 199
ACKNOWLEDGMENTS ..................................................... 199
REFERENCES ...................................................................... 200

5

Feature Scale Modeling ................................................... 205
Vivek Singh
1.0 INTRODUCTION ......................................................... 205
2.0 COMPONENTS OF ETCH AND DEPOSITION
MODELING .................................................................. 207
3.0 ETCH MODELING ...................................................... 210
3.1 Ion Transport in Sheath ....................................... 212
3.2 Selection of Surface Transport Mechanism ........ 213
3.3 Surface Reaction Kinetics ................................... 214
3.4 Simplifying Assumptions .................................... 215
3.5 Modeling of Surface Re-emission ....................... 216
3.6 Modeling of Surface Diffusion ........................... 217

3.7 Numerical Methods ............................................. 219
4.0 ETCH EXAMPLES ....................................................... 222
5.0 DEPOSITION MODELING ......................................... 228
6.0 DEPOSITION EXAMPLES ......................................... 233


xxii Contents
7.0 REAL LIFE ................................................................... 237
REFERENCES ...................................................................... 238

6

The Role Of Metrology And Inspection In
Semiconductor Processing .............................................. 241
Mark Keefer, Rebecca Pinto, Cheri Dennison,
and James Turlo
1.0 OVERVIEW .................................................................. 241
2.0 INTRODUCTION TO METROLOGY AND
INSPECTION ................................................................ 242
3.0 METROLOGY AND INSPECTION TRENDS:
PAST, PRESENT, AND FUTURE .............................. 245
3.1 Trends in Metrology ............................................ 245
3.2 Trends in Defect Inspection ................................ 246
3.3 Trends in Inspection Strategies ........................... 250
4.0 THEORY OF OPERATION, EQUIPMENT DESIGN
PRINCIPLES, MAIN APPLICATIONS,
AND STRENGTHS AND LIMITATIONS OF
METROLOGY AND INSPECTION SYSTEMS ......... 255
4.1 Film Thickness Measurement Systems ............... 256
4.2 Resistivity Measurement Systems ....................... 261

4.3 Stress Measurement Systems .............................. 264
4.4 Defect Inspection Systems .................................. 269
4.5 Automatic Defect Classification ......................... 277
4.6 Defect Data Analysis Systems ............................ 280
GLOSSARY .......................................................................... 281
REFERENCES ...................................................................... 285

7

Contamination Control, Defect Detection, and
Yield Enhancement in Gigabit Manufacturing ............ 287
Suresh Bhat and Krishna Seshan
1.0 INTRODUCTION ......................................................... 287
2.0 CONTAMINATION AND DEFECT GOALS
FOR ULSI DEVICES .................................................... 289


Contents

xxiii

3.0 SOURCES OF PARTICLES ......................................... 292
4.0 CONTAMINATION AND DEFECT
DETECTION: TOOLS OF THE TRADE .................... 293
4.1 Introduction ......................................................... 293
4.2 Non-Patterned (Bare) Wafer Surface Defect
Detection .............................................................. 295
4.3 Patterned Wafer Surface Defect Detection ......... 297
5.0 ADVANCED TECHNIQUES FOR TRACE
CONTAMINATION MONITORING .......................... 299

5.1 Introduction ......................................................... 299
5.2 Laser Light Scattering-Based In Situ Particle
Detectors .............................................................. 300
5.3 Residual Gas Analyzers, Mass Spectrometry ..... 300
6.0 SUBSTRATE SURFACE PREPARATION
TECHNIQUES .............................................................. 304
6.1 Introduction ......................................................... 304
6.2 Aqueous Chemical Cleaning and Etching ........... 305
6.3 Role of Organic Contamination .......................... 305
6.4 Summary .............................................................. 307
7.0 CHALLENGES TO ULSI (GIGABIT)
CONTAMINATION CONTROL ................................. 307
7.1 Effect of People on Particle Density
in Cleanrooms ...................................................... 310
8.0 PROCESS EVOLUTION .............................................. 311
9.0 EVOLUTION OF CIRCUIT BASED
ELECTRICAL DEFECT DETECTION ....................... 313
10.0 CONCLUSION ............................................................. 316
ACKNOWLEDGMENT ....................................................... 316
REFERENCES ...................................................................... 317

8

Sputtering and Sputter Deposition ................................ 319
Stephen Rossnagel
1.0 INTRODUCTION ......................................................... 319
2.0 PHYSICAL SPUTTERING THEORY ......................... 320
2.1 Energy Dependence of Sputtering ....................... 321
2.2 Energy and Direction of Sputtered Atoms .......... 324



xxiv Contents
3.0 PLASMAS AND SPUTTERING SYSTEMS .............. 326
4.0 DEPOSITION RATES AND EFFICIENCIES ............. 335
5.0 REACTIVE SPUTTER DEPOSITION ........................ 338
6.0 SPUTTERING SYSTEMS ............................................ 344
7.0 CONCLUSIONS AND FUTURE DIRECTIONS ........ 347
REFERENCES ...................................................................... 348

9

Laser and Electron Beam Assisted Processing ............. 349
Cameron A. Moore, Zeng-qi Yu, Lance R. Thompson,
and George J. Collins
1.0 INTRODUCTION ......................................................... 349
2.0 BEAM ASSISTED CVD OF THIN FILMS ................. 351
2.1 Conventional CVD Methods ............................... 351
2.2 Electron Beam Assisted CVD ............................. 351
2.3 Laser Assisted CVD ............................................ 352
2.4 Experimental Apparati of Beam
Assisted CVD ...................................................... 352
2.5 Comparison of Beam Deposited Film
Properties ............................................................. 354
3.0 SUBMICRON PATTERN DELINEATION WITH
LARGE AREA GLOW DISCHARGE PULSED
ELECTRON-BEAMS ................................................... 365
4.0 BEAM INDUCED THERMAL PROCESSES ............. 368
4.1 Overview.............................................................. 368
4.2 Electron Beam Annealing of Ion-Implanted
Silicon .................................................................. 370

4.3 Electron Beam Alloying of Silicides ................... 372
4.4 Laser and Electron Beam Recrystallization
of Silicon on SiO2 .................................................................... 374
5.0 SUMMARY AND CONCLUSIONS ............................ 376
ACKNOWLEDGEMENTS ................................................... 377
REFERENCES ...................................................................... 377


×