Tải bản đầy đủ (.docx) (8 trang)

Cấu trúc chương trình VHDL cơ bản

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (1.21 MB, 8 trang )

Cấu trúc chương trình VHDL cơ bản
(nên chỉnh sửa, viết code VHDL dùng notepad++)
-------------------------------------------------------Khai báo thư viện
----------------------------------------------------LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE work.my_package.all;
-------------------------------------------------------Khai báo entity, các port vào/ra, các hằng
----------------------------------------------------ENTITY entity_name IS
PORT (
port_name: port_mode signal_type;
port_name: port_mode signal_type;
...);
END [ENTITY] [entity_name];
-------------------------------------------------------Khai báo hoạt động của entity, mối liên hệ vào ra
----------------------------------------------------ARCHITECTURE architecture_name OF entity_name IS
[architecture_declarative_part]
BEGIN
architecture_statements_part
END [ARCHITECTURE] [architecture_name];
----------------------------------------------------------


Ví dụ về cách viết chương trình VHDL cơ bản và thực
hiện mô phỏng trên MaxPlus II.

Bước 1. Chuẩn bị code VHDL
-

Có thể sử dụng trực tiếp chương trình editor có sẵn trên Maxplus

-



Hoặc dùng một chương trình editor hỗ trợ cú pháp VHDL

-

Lưu file vhdl ở dạng “<tên của ‘ENTITY’>.vhd”. Trong trường hợp này là func1.vhd


Bước 2. Biên dịch chương trình VHDL

-

Nhấn Start


-

Nếu chương trình không lỗi thì không có thông báo sai code

Bước 3. Xem mạch thiết kế ở dạng khối
-

Mở Graphic Editor

-

Nhập Symbol

-


Mở file *.gdf (có được khi biên dịch chương trình)


-

Sơ đồ khối mạch xuất hiện

Bước 4: Mô phỏng bằng dạng song
-

Mở Waveform Editor

-

Nhập file *.snf có được khi biên dịch *.vhd


-

Chọn các ngõ vào/ra

-

Chọn các dạng song logic (0..1) cho các cổng vào


-

Lưu file với dạng *.scf


-

Chạy mô phỏng


File đính kèm
Với ví dụ này có thể dùng làm mô phỏng cho các mạch logic đơn giản
func1.vhd



×