Tải bản đầy đủ (.pdf) (76 trang)

Nghiên cứu thiết kế và tích hợp các chip chuyên dụng vào hệ thống đo lường và thu thập dữ liệu

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (49.12 MB, 76 trang )

<span class='text_page_counter'>(1)</span><div class='page_container' data-page=1>

DAI HOC QUOC GIA HA NO I


<b>TRUfiMG DAI HOC CONG NGHE </b>



BAG GAG TGNG KET



<i>De tai: </i>



<b>NGHI€N CIJU THifT KC'Vfl TICK HOP CflC </b>


<b>CHIP CHUVCN DUNG VRO H€ THONG </b>



<b>DO LUdNG V^ THU TH^P DU U€U </b>


MA SO: QG 05.08



Chu tri: PGS. TS Ngo Dien Tap


Tham gia thuc hien:



Th S Nguyin Kiem Hiing


CN Nguy6n Van Hieu


CN Phan Thu Ngan "



</div>
<span class='text_page_counter'>(2)</span><div class='page_container' data-page=2>

MUG LUC


Trang


Muc luc 2
Dat van de 3
Chuong 1. Mot so hieu biet ca ban ve ky thuat lap trinh 4


tren chip


Chuang 2. Phdn thuc nghiem va cac ket qua 9


2.1 Tich hop kha nang thong bao ket qua bang gigng noi 9


cho he thong do ludng


2.2 Tich hop chip chuyen dung vao cac he thong do 16
nhieu man hien thi


2.3 Thiet ke va tich hop cac chip FPGA vao he thong 28
thu nhan anh ve tinh khi tugng


2.4 Nghien cuu thiet ke va tich hgp chip Xilinx CPLD 46
vao he thong thu nhan anh ve tinh khi tugng


Ketluan 51
Danh sach cac cong trinh da cong bo 53


Danh sach cac san pham dao tao 54


</div>
<span class='text_page_counter'>(3)</span><div class='page_container' data-page=3>

DAT VAN DE


<i>Trong nhOng nam gin day, cac thiet bi do lucmg va thu thap du lieu da vugt </i>
qua gidd ban cac hinh thiic chi thi hoac hien thi thong thucmg va tra nen phong
<i>phu w6 chung loai, da dang ve each the hien ket qua nhu: dgc ket qua bang gigng </i>
noi, dgc ket qua tif xa,... Tr6n dudng pho Ha Noi eo the nghe thay tieng dgc ket
qua can do th6ng s6 lien quan den siie khoe con ngucfi bang tieng Viet tir mot
san pham do Trung Quoc san xua't. Nhung lai eo mot dae diem dang chu y la eau
tao ben trong cua cac san pham loai nay lai khong phiic tap them nhieu nh5 sii
dung cac linh kien s6 lap trinh duge (PLD; Programmmable Logic Device).
Huomg nghien euru nay phat trien 6 mot so nu6c, nhung do dae tinh lap trinh
duge nen cho phep nhung nu6c nho eung eo the tiep can cong nghe vi mach hien


dai de lam ra nhung san pham mang dae thii eiia dat nu6e minh, tieng noi eiia
dan t6e minh,


<i>Trong xu hudmg phat trien hien nay khong the ehd nuac khdc lam ra nhung </i>
san pham the hien tiSng Viet tren man hinh tuong tac (nhu tren cac phuang tien
<i>nghe nhin a nu6e ta hien nay) hoac dgc ket qua bang tieng Viet ma ta phai tu </i>
lam duge nhiJng san phan loai nhu vay. De tai nay duge xay dung nham tiep can
mot yeu edu dang dat ra doi vofi cac san pham dien tu Viet nam.


<i>Them vao do, cong nghe vi mach truyen thong chi c6 the thuc hien a cac </i>
nu6e 16n va giau vi kinh phi dau tu rat ton kem, nhung cac nu6e nho tham chi
ngheo van eo the tiep can cong nghe lap trinh cho vi mach (mot cong nghe
khong gay 6 nhi6m moi trucmg nhu cong nghe truyen thong !) de lam ra nhung
loat nho san pham thich hgp vod yeu eau dat ra trong timg nude.


Thiet ke va tao ra mot so chip FPGA/CPLD chuyen dung (bang phan mem)
CO kha nang tich hop duge vao cac he thong do lucmg va thu thap du lieu lam
<i>tang them gia tri su dung va linh vue limg dung cua he thong. Mot thi du eo ihi </i>


<i>dt dang nhan thay la cac mach dong bg va tach tin hieu tu cac ve tinh khi tugng; </i>


neu lam tir cac linh kien r5i rac, ke ca cac vi mach, se rat phuc tap va ton kem
thdi gian, nhung neu lam duge tir cac chip lap trinh thi mach dien se rat ggn nhe,
boat dgng tin cay va thdi gian che tao (nhan ban) se riit ggn di rat nhieu.


Nhimg noi dung chinh se duge trinh bay trong bao cao nay bao g6m:
- Mot so hieu biet ca ban ve ky thuat lap trinh tren chip.


- Phan thuc nghiem va mot so ket qua thuc hien da dang ky truac.



</div>
<span class='text_page_counter'>(4)</span><div class='page_container' data-page=4>

Chu-orng I


M O T SO H I E U BIET C O B A N VE KY THUAT
L A P T R I N H T R E N C H I P


1.1 M O DAU


<i>r > y y</i> A


Nhu da noi den a phan dat van de, trong nhung nam gan day, kha nang tich
hgp tren cac chip lap trinh duge c6 xu huang tang len khong ngimg, tu vai chuc
cong logic den vai tram va cho den nay da tang len den mot trieu cong, tham chi
con cao ban nira. Vi vay cac chip kha lap trinh duge c6 xu huang dap ung duge
nhung ung dung kha phuc tap, nhu:


- XOr ly tin hieu so nang cao.
- Xu iy anh,


- Cac ung dung da phuang tien [2], [3], [4]^ y^ [5]^


Thuc te cho thay, cho du cac chuang trinh nap vao chip eo phuc tap den dau
thi do eau true theo kieu modun van c6 the duge xem nhu duge xay dung tu
nhiing phan nho, nhiing bg phan nho ket noi lai. Vi vay, viee xay dung nhung
modun so (digital) ca ban de tu do hinh thanh mot thu vien se tang tinh da dung
cua vi mach lap trinh duge, dong thai nit ngan duge thai gian tinh tu khi hinh
thanh thiet ke den cac ung dung cu the.


<i><b>Trong khuon kho de tai ''Nghien ciru thiet ke vd tich hop cac chip chuyen </b></i>


<i><b>dung vao he thong do Itr&ng vd thu thap dCt lieu'' cac chip lap trinh duge bao </b></i>



gom hai loai:


</div>
<span class='text_page_counter'>(5)</span><div class='page_container' data-page=5>

* Cac chip CPLD, kha nang lap trinh lam cho ung dung cua chip linh boat
ban.


Viec lap trinh cho cac vi dieu khien da duge trinh bay trong nhieu cuon sach


<i>duge xuat ban trong nuac, chang ban [3], Trong khi, ngon ngu dae ta de lap </i>


trinh cho cac CPLD van con rat it tai lieu (trong nuac) de cap den. Vi vay, sau
day xin trinh bay ngan ggn ve cac vi mach lap trinh duge, ciing nhu ngon ngO de
lap trinh cho cac chip nay.


<b>1.2 NGON NG0M6 TA PHAN CUNG HDL </b>



<b>L2.1 Mot so khai niem </b>


• •


VHDL la mot thuat ngii duge viet tat cua Very High Speed (Integrated
Curcuits) Hardware Description Language (Ngon ngu mo ta phan cung mach
tich hgp toe do cao). Loai ngon ngix dae biet nay da duge phat trien tu giiia


<i>r </i>


nhijng nam 80 a Hoa ky. Bg Quoc phong va Vien IEEE (Institute of Electrical
and Electronics Engineers) da bao trg cho sir phat trien cua ngon ngiJ mo ta phan
cung vai muc tieu phat trien mach tich hgp toe do cao. Ngay nay VHDL da tra
thanh ngon ngir tieu chuan cong nghiep duge su dung de mo ta cac he thong so.



<b>^ </b>


Mot loai ngon ngu mo ta phan cung khac cung duge dung rgng rai do la
Verilog. Ca hai deu la nhung ngon ngu manh, cho phep nguai thiet ke mo ta va
mo phong nhung he thong so tu dan gian den phuc tap. Mot ngon ngu HDL
(Hardware Description Language) thu ba la ABEL (Advanced Boolean Equation
Language), duge thiet ke danh rieng cho cac thiet bj logic kha trinh
(PLD-Programmable Logic Device). ABEL khong manh bang hai ngon ngu tren va it
duge ua chuong trong cong nghiep


</div>
<span class='text_page_counter'>(6)</span><div class='page_container' data-page=6>

<b>1.2.2 Mot so cong nghe phan cirng </b>


<i>1.2.2.1 Cong nghe ASIC (Application Specific Integrated Circuit) </i>


Cong nghe mach tich hgp chuyen dung, day la cong nghe thiet ke mach
bang mot chip chuyen dung duge dinh huang truac theo mot hoac mot so chuc


<i><b>p p r</b><b> / r </b></i>


nang nao do vai each ket noi c6 dinh hoac c6 sir ket hgp mot so linh kien va


<i><b>f f w t </b></i>


chip vai cac duang ket noi c6 dinh. Do dae diem nay, cac ASIC khong c6 ban
tren thi truang duai dang vi mach don le nhu cac vi mach thong thuong, cung
khong CO ban thong so ky thuat (datasheet), ma duge lap rap trong nhung thiet bi
cu the cua mot nha san xuat nao day.


<i>1.2.2.2 Cong nghe PLD (Programmable Logic Devices) </i>



<i>* Mot so loai linh kien logic lap trinh dirac </i>


<i>- Simple Programmable Logic Devices (SPED): la mot con chip nho vai cac </i>


cong noi tiep (day) hoac mot nhom cac cong duge noi vai nhau de dam
nhan mot chuc nang don gian.


- Complex Programmable Logic Devices (CPLD): eo eau true phuc tap ban
SPED, no CO the chua mot vai khoi, moi khoi duge hieu nhu mot SPED.


- Field Programmable Gate Array (FPGA): c6 eau true va boat dgng phuc tap
ban CPLD, dong thai c6 kha nang thuc hien nhung chuc nang phuc tap.


<i>* Cdc phirang tien chu yeu diing cho linh kien logic lap trinh dirac </i>


• Can CO PROM (Programmable Read Only Memory) trong PLD bai no
<i>chua chuang trinh cho PLD. Chuang trinh duge luu lai ngay ca khi mat </i>
nguon nuoi.


• Phan mem lap trinh cho PLD.


• Cac tai lieu huang dan phuc vu cho nguai phat trien.
• Kha nang lap lai eau hinh cho linh kien.


<i>The he PLD mai, dugz cong ty Xilinx phat trien c6 ket hgp he thong tren </i>
<i><b>r t </b></i>


<i>chip dugz xem nhu la the he FPGA. No duge ket hgp nhung mach dae biet cung </i>
vai rat nhieu cong de tao nen nhung thiet bi truyen thong c6 chuc nang dae biet.



</div>
<span class='text_page_counter'>(7)</span><div class='page_container' data-page=7>

<i>/ f ' </i> <i>f</i> <i>t </i>


CPLD cung cap cho cac nha thiet ke mach logic c6 dung lugng rat Ian de
thiet ke nhimg mach phuc tap chi tren mot chip. CPLD c6 the coi la mot tap hgp
cua cac SPED.


Mot SPED la mot nhom cac cong duge noi lien vai nhau ma chung c6 the
lap trinh duge de thuc hien mot chuc nang nao do. Duge phat trien bat dau tu


<i>\ r </i> <i>"</i> <i>i</i> <i>f</i> <i>f </i>


nhung nam 1970, SPED la mot phan bet sue ca ban de thiet ke CPLD, PAL
(Programmable Array Logic), PEA (Programmable Logic Array). Noi khac di,
CPLD chua cac khoi SPED, trong do moi SPED duge coi la mot khoi logic bao


^ •>


gom PAL hoac PEA. Cong nghe CPLD duge phat trien cho cac ung dung nhu :
- Cac thiet ke dan gian.


<i>- Cac thiet bi c6 gia thanh thap, chuc nang don gian. </i>


- Giam kich thuac mach.


<i>* Cong nghe FPGA </i>


<i>f r \ •> > </i>


Mot chip FPGA duge thiet ke bao gom hang ngan cong logic hoac nhieu


ban vai cac ket noi c6 kha nang lap trinh, thuong ap dung cho cac chuang trinh
phat trien phan cung vi c6 uu diem la boat dgng nhanh va dien ap thap. Cau tao
cua mot chip FPGA bao gom cac bg dem I/O, cac khoi logic eau hinh, thuong


<i>I</i> <i>f f r f </i>


duge viet tat la CLB (Configurable Logic Blocks) va cac eau true ket noi c6 kha


<i>r f \ </i>


nang lap trinh. Lap trinh cho cac ket noi duge thuc hien bang cac 6 RAM ma


<i>\ r f f t </i>


<i>dau ra cuoi cung cua no duge ket noi vai cac cong MOS ngang qua cac tranzito. </i>


\<i> f </i>


Mot CLB don bao gom bon tin hieu vao, mot tin hieu xung clock, cac bg
da hgp lap trinh duge, mot flip flop SR, bang tra (LUT-Look Up Table). Bang


__<i> f -> f f </i>


LUT la bg nha so dung de cat giii bang chan ly cua ham so Boole. Mot CLB c6
rat nhieu ham logic khac nhau dung de sap dat chuang trinh.


*<i> f r r \ \ </i>


Luu do thiet ke cho mot chip FPGA bat dau bang viec mo ta ham trang



<i>f 1 </i>


thai, thuong dung ngon ngu VHDL. Cau true sau do duge tong hgp tu cac luge
do mach hoac cac 6 logic. Ke tiep la viec sap dat va ehgn duang de dinh vi cac
CLB cho phu hgp vai mang netlist. Sau do la qua trinh mo phong va tham tra
truac khi nap chuang trinh vao chip,


<i>t r r r , , </i>


</div>
<span class='text_page_counter'>(8)</span><div class='page_container' data-page=8>

can mot cong cu che tao mach tich hgp nao. Vi khong c6 buae vat ly nen mot
ham don gian trong mot chip FPGA thong thuong c6 the thu duge ngay khi ve


<i>f </i>


Mapping. Viec san xuat chip theo ky thuat FPGA thuong c6 chi phi cao ban so


<i>f </i>


vai cac ky thuat khac, no thuong duge ap dung cho viec san xuat chip ASIC
(Application Specific Integrated Circuit) lam viec vai dien ap thap va cho cac
linh kien can toe do nhanh.


So sanh giiia cac cong nghe PLD thi FPGA la mot cong nghe mai duge
phat trien c6 tiem nang rat Ion.


<b>1.2.3 So sanh cong nghe ASIC va PLD </b>


Cong nghe PLD va cong nghe ASIC khac nhau a mot so diem sau:
<i>> f W f </i>



- ASIC can mot thai gian dai cho viec thiet ke va che tao, trong khi do PLD


<i>^ ^ f </i>


tao ra chi nha vao viec nap mot phan mem nen rat nhanh ch6ng.


<i>f f f </i>


<i>- ASIC thuong duge thiet ke toi uu cho mot chuc nang dae biet nen thuong </i>


CO toe do cao ban. Nhung vai cong nghe cao ngay cang phat trien thi PLD
van CO kha nang vira cho do linh dgng cao vua c6 toe do nhanh va gia
thanh re.


<i>r f f </i>


<i>- Vai so lugng Ion (tren 5000 chip) ASIC to ra kinh te hon, trong khi vai so </i>


lugng nho, tham chi rat nho thi PLD lai the hien uu diem vugt trgi.


<i>- ASIC CO gia thanh thuong dat gap nhieu Ian so vai cong nghe PLD. </i>


</div>
<span class='text_page_counter'>(9)</span><div class='page_container' data-page=9>

<b>Chu-oTig 2 </b>


<b>P H A N THV'C</b>

<b> NGHIEM VA CAC KET QUA </b>



<b>2.1 TICH HQP K H A N A N G THONG B A O KET QUA B A N G </b>


<b>GIQNG NOI CHO HE THONG DO Ll/OfNG </b>
<b>2.1.1 Dat van de </b>



Cham ngon eo eau "Tram nghe khong bang mot thay", nhung khi giao tiep
v6i cac thiet bi dien tir thi trong nhieu trucmg hgp am thanh lai lam cho nguai
dung cam thay tien Igi ban nhi6u. Co the ke ra a day mot vai thi du: Tieng loa
<i>bao so tang khi di thang may, tieng "bip" bao chap mach khi do dien tra, tieng </i>
"coi chit" bao nhiet do qua cao, tieng "coi bao dgng" khi ap suat qua cao, v. v...
deu lam giam nhe su cang thang cho ngudi dung so v6i khi phai theo doi bang
mat. Tren dudng pho Ha Noi eo the nghe thay tieng dgc ket qua can do thong so
lien quan den siie khoe eon ngu5i bang tieng Viet tir mot san pham do Trung
Quoc san xua't. Moi day nhat la nhung dien thoai phat ra so ggi bang tieng Viet
m6i khi ngucri dung nhan phim. Am thanh phat ra trong cac thi du neu tren d6u
do mot vi di6u khien ehi dao. Vi vay trong chuong nay ta se xem xet eo the giao
tiep nhu the nao v6i mot vi di6u khien de kich boat cac chip phat ra am thanh.
Hien tugng nay duge ung dung trong cac thiet bi eanh bao, chong trgm, xu ly va
nhan dang tieng noi, dae biet la trong cac he thong do ludng va dieu khien.


Theo huong nghien cuu nay chung toi da dat duge mot so ket qua, chang


<i>f r </i>
han tich hgp am thanh trong thiet bi quan ly hang cho, trong thiet bi bao dgng


hoac eanh bao. Trong phan nay se trinh bay cac ket qua thuc nghiem trong viec


<i>r f I r -< </i>


ma rgng thiet ke he do de tich hgp them mot bg phat am so de cho phep thong
bao cac ket qua do bang gigng noi tieng Viet. Sau mot khoang thdi gian dat


<i>\ r </i> <i>f</i> <i>t y </i>



</div>
<span class='text_page_counter'>(10)</span><div class='page_container' data-page=10>

<i>1 / ^ f </i>
am, nhiet do (hoac ca ap suatO se duge thong bao bang gigng ndi tieng Viet. He


<i>I ^ ^ f t </i>


thong tren mang tinh minh boa ve mat phuang phap, ve nguyen tac viec doc ket


<i>•\ t f </i>


qua do ludng bang gigng noi c6 the duge ap dung cho cac he thong do cac thong
so khac nhu: do huyet ap, do dien ap, cac long ap tre so sinh v. v...


<b>2.1.2 Xay dyng he thong </b>


<i>2.1.2.1 Modun thu phat am so </i>


<i>•» f </i>


De phat am so c6 hai phuang phap:


• Viet phan mem tren chip (chang ban bang ngon ngir VHDL) de tao ra cac
tieng noi tong hgp.


<i>•} f </i>


<i>• Dung cac chip chuyen dung de thu phat am thanh, ggi tat la cac modun </i>


RPM.


Thoat dau, chung toi di theo hudng thu nhat va da ed ket qua cong bd tai


Hoi Nghi Khoa hgc cua Khoa Cong Nghe (va dang tren tap chi cua Dai hgc


<i>f f > -y y </i>


Quoc Gia) vi nhan thay ngoai phan am thanh con ed the tich hgp cac phan khac
eiia he thong do ludng tren cung mot chip. Ve sau, chung toi chuyen sang each
thu hai vi thay de dap ung tinh da dang cua ung dung hon.


<i>f y </i>


Theo giai phap thu hai thi trong he thdng nay, phan quan trgng la mot
modun RPM duge su dung de thu/phat am thanh. RPM cung cap mot giai phap


<i>I f </i>


thu/phat am thanh chat lugng cao cho cac ung dung nhan tin khoang thdi gian


<i>f y f </i>


<i>ngan. So do khoi cua RPM duge mieu ta trong hinh 1. </i>


\<i> y f </i>


Modun RPM bao gom mot bg dao dgng, mot bg tien khuech dai


<i>micro-•> t f f y 1 </i>


phdn, mach dieu khien khuech dai tu dgng, bg Igc chdng chdng pho, bg Igc lam


<i>f f f t t </i>



tron, va bg khuech dai loa. Mot he thdng con thu/phat am tdi thieu c6 the duge
cau hinh vdi mot micro-phdn, mot loa, mot vai linh kien thu dgng, hai nut bam
va mot ngudn nudi. Viec ghi am duge luu vao trong cac d nhd khdng bay hoi,


<i>f f f r </i>


cung cap su luu trir tin nhan cdng suat zero. Giai phap duy nhat nay duge thuc
hien nhd cdng nghe luu tru da muc MLS. Tieng ndi va cac tin hieu am thanh


<i>duge luu tru true tiep vao trong bg nhd dudi dang tu nhien ciia no, cung cap su </i>


<i>f </i>


</div>
<span class='text_page_counter'>(11)</span><div class='page_container' data-page=11>

<b>t r u r w c o c i i </b> <b>Tifnrg </b>
<b>XCL<( </b>


<b>A N I I N </b>


<b>SanpungC c ô </b>


<i><b>ã ằn9 </b></i> <i><b><sub>ã Jtt^ti^m- ^sfl-B^cve^ </sub></b></i>


<i><b>jifc^LtXT </b></i>


<i><b>ti-me <»~ </b></i>


<b>M I C R £ f > </b>
<b>A&; </b>



<b>Autontanc </b>
<b>S«lP Control </b>


<b>1 ^ i t lr.-«( Atc^ac* </b>


<b>f-Poi« i : t / * </b>


<b>Srroolnirtg F itw </b> >LTO


<b>o 5P </b>


<b>^ W 4 r Ccncttô3nlng </b>


/ _ v.


ã i 1


A3 A l A : AS A« * « M AT


<b>C-«t«C;:nl':l </b>


<b>P E ; P_* E P_A»- « C ^ E C </b>


<i>Hinh 7: Sa do khoi cua modun RPM. </i>


<i>f </i>


RPM cung cap mot loat cac mddun thu/phat am thanh vdi thdi gian


<i>f </i>



thu/phat khoang tu 20 giay den vai phiit. Vdi cac ung dung khong phuc tap,
chung tdi lira ehgn mddun RPM ed khoang thu phat 20 giay. Cac thdng sd dang


<i>f f t </i>


quan tam cua mddun RPM la kha nang nhd tin nhan den 100 nam va sd chu
trinh ghi/xoa la 100.000.


Cac dae tinh ky thuat chinh ed the ke ra nhu sau:


• Ngudn nudi don dau, +5 von,
• Khoang thdi gian ghi: 20 giay,


• Giai phap thu phat don chip de su dung,


• Su tao lai am thanh tu nhien vdi chat lugng cao,


<i>f y f</i> >


• Kha nang ndi tang true tiep khi can khoang ghi dai hon,
<i>t f </i>


• Che do tiet kiem nang lugng tu dgng,
<i>f f y </i>


• Su luu tin nhan cdng suat bang khong,


• Cd kha nang dinh dia ehi cho tat ca cac tin nhan,
• Kha nang nhd tin nhan den 100 nam,



<i>• Chiu duge 100.000 chu trinh ghi, </i>
• Bg dao dgng on-chip,


</div>
<span class='text_page_counter'>(12)</span><div class='page_container' data-page=12>

<i>y r f </i>


Hinh 2 chi ra mot so do khoi cho mot ung dung rat eo ban eiia RPM. Mach
nay cd ba niit nhan: mot cho ghi, mot cho phat lai theo sudn va mot cho phat lai
theo muc. Nut ghi am thuc hien chinh xac nhiem vu nhu ten ggi eiia nd: nhan


<i>f</i> <i>I</i> <i>f </i>


nut nay cho phep ghi lai am thanh; nha nut nay dimg ghi am. Neu gap diem ket
thuc bg nhd (EOM) cua chip trude khi nut duge nha, chip se tu dgng ket thuc
viec ghi va chuyen sang che do giam nang lugng. Ciang cd mot LED dd dung de
<i>chi thj viec ghi am. LED nay duge bat sang khi ghi am. Am thanh ed the duge </i>
ghi theo 2 each: bang each su dung mot micrd-phdn nhu duge chi ra trong mach


<i>^ » </i> <i>f</i> <i>t </i>


vi du phan tren, hoac bang each su dung mot tin hieu true tiep tu mot he thdng


<i>I</i> <i>I f r </i>


CD-stereo ket ndi true tiep tdi chan Idi vao tuong tu cua RPM.


Cd hai loai nut phat loai: kich boat theo sudn (PLAYE) va kich boat theo


<i>f f y </i>



muc (PEAYE). Thiet bi bat dau mot chu trinh phat lai am khi phat hien mot
sudn chuyen muc tu muc HIGH tdi muc LOW tren chan PL A YE. Viec dua


<i>f f </i>


chan PEAYE len muc HIGH trong sudt thdi gian phat lai am thanh se khdng ket


<i>f f f </i>


thuc chu trinh phat lai hien thdi. Su phat lai tiep tuc cho den khi mot dau hieu
EOM duge phat hien. Ngay sau khi hoan thanh chu ky phat lai, thiet bj tu dgng


<i>1 f </i>


giam nang lugng va chuyen sang che do Standby. Chuc nang phat duge kich
boat theo muc khdi tao mot chu trinh phat lai am thanh khi tin hieu Idi vao tren


<i>f f f </i>


chan nay duge giu d muc thap va su phat lai duge tiep tuc cho den khi chan


<i>I</i> <i>f f </i>


PEAYE duge keo len muc cao hoac gap dau hieu EOM (ket thuc bg nhd). Thiet


<i>t I </i>


bi tu dgng chuyen sang che do giam nang lugng ngay khi chu trinh phat lai hoan
thanh.



<i>S f f n </i>


Dau ra loa eiia RPM duge thiet ke de su dung mot loa 16Q.


<i>\ f f </i>


Bang each su dung cac Idi vao dia ehi (A0-A7), hoac cac che do boat dgng
(bang each sir dung cung cac chan nhung vdi cac byte trang thai khac - chan 9
<i>va 10 duge dat len muc cao), nhieu doan am eo the duge ghi va phat lai. Phan </i>
sau se minh boa each su dung RPM de ghi am va phat lai nhieu doan am thanh.


<i>2.1.2.2 He thong do </i>


<i>f f . "* ' ' y </i>


</div>
<span class='text_page_counter'>(13)</span><div class='page_container' data-page=13>

<b>nhiet do (LM35) va do 4m (HM1500 hoac HM1520) bing mot cam bien chung </b>
<b>la HM2500 cua cong ty Humerel. </b>


<b>MPXA6115A </b>
<b>LND5 </b>
<b></b>
<b>HM152Cf-Realtime </b>


<b>Keypad1 </b>


<b>Enter | : </b>


<b>ADCO </b>


<b>PORTC O </b>


<b>ADCl </b>


<b>ADC2 </b>


<b>A'nVIega8535 </b>


EEPROM 24C256


LCD


<b>NliietDo:23.5*C </b>


<i>Do Ain: ^5« o TROI NANG </i>


<b><ADD-CTOL RPM I </b>


<b>KevpadZ </b>
<i><b>?LAYL \ZD </b></i>
<i><b>PLA\T \ZD </b></i>


<i>RECD CD </i>


Microphouj—


Speakei


<b>Hinh 2: He thong do nhiet do, do am moi tmong. </b>


Trong ung dung nay, chuc nang do nhiet do duge thuc hien bdi cam bien



<i><b>f f f f </b></i>


HM2500, trong dd chan ra cua cam bien nhiet do duge ket ndi tdi chan Idi vao
ADCl cua vi dieu khien va chuc nang do do am duge thuc hien bdi Idi ra cam


<i>f t f f </i>


<i>bien do am ket ndi tdi chan ADC2 nhu duge chi tren hinh 2. </i>


<i><b>W </b></i> <i><b>f</b></i> <i><b>i</b></i> <i><b>t </b></i>


<b>Cam bien nhiet do va do am: Cam bien do am duge su dung d day la HM2500. </b>


i , jtifMHtAil ^


</div>
<span class='text_page_counter'>(14)</span><div class='page_container' data-page=14>

<b>Cac dae tinh chinh: </b>


• Kich thudc nhd,


• Khdng bi anh hudng khi bi nude te vao,


» ^<i> y f </i>


• Cd the thay ddi ma khdng can tien hanh hieu chinh them,
• Do tm cay cao va cd do on dinh trong thdi gian dai,


• Cd the do do 4m tu 0 dĐn 100% RH,


ã Do am duge dinh chuan vdi do chinh xac ±2% RH d 55% RH,
• Do nhiet do bang nhiet trd NTC 10 kQ vdi Idi ra true tiep.


• Ngudn nudi cd the thay ddi trong dai rgng tu 3 den 10 V.


• Cam bien do am cd do ben cao vdi cac chat, thdi gian dap ung nhanh.


<i>f f f f r </i>
Gidng nhu vdi bat ky mach tuyen tinh nao khi duge ndi tdi cac day ndi


<i>\ /^ f t </i>


trong mdi trudng cd nhieu nhieu, hieu suat cua nd cd the bj tac dgng mot each


<i>f \ i^ f t </i>


bat Igi bdi cac ngudn nhieu dien tir rat manh, chang ban nhu cac role, cac may


<i>f</i> <i>t f -y </i>


phat sdng vd tuyen, cac dgng ca chdi quet, v. v... vi cac day ndi eiia nd ed the trd
thanh cac angten thu va cac Idp tiep giap ban dan ben trong cua nd ed the boat


<i>t f f f </i>


dgng nhu cac bg chinh luu. De dat duge cac ket qua tdt nhat trong cac trudng


<i>y f y f </i>


hgp nhu vay, mot tu Igc ngudn ndi tu ngudn nudi tdi dat va mot bg lam nhut RC
ndi tiep (chang ban, R = 75Q, C = l|iF) tir Idi ra tdi dat thudng duge su dung
<i>nhu duge chi ra trong hinh 2. </i>



<i>t</i> • > A


Mot do phan giai theo do am 0,2% RH cd the dat duge bang each su dung
mot A/D 10 bit nhu A/D eiia vi di^u khiln ATMega8535.


D I ung dung RPM vao trong he thdng do, nd duge hieu chinh de cd kha
nang ghi cac mau gigng ndi theo dinh dang tep. Cho vi du, de thdng bao nhiet do
<i>do duge trong ung dung nay, Idi dgc cua sd va cau "Nhiet do bay gid la", va "do </i>
C" can duge ghi am. Sau dd td hgp cua nhirng am nay cho phep thdng bao ra cac
k^t qua mong mudn, chang han nhu: "Nhiet do bay gid la 23 do C".


<b>2.1.3 Ket qua va ban luan </b>


</div>
<span class='text_page_counter'>(15)</span><div class='page_container' data-page=15>

<b>Hinh 4: Ban mach cua he do. </b>


<b>Dir bao thoi tiet dan gian: Bang each bd sung them cam bien ap suat va lap </b>
<i><b>t f I.U f </b></i>


trinh the hien duge bien thien va tac dgng tuong hd cua cac tham sd nhiet do, ap


<i><b>f</b></i> <i><b>t </b></i> <i><b>f</b></i> <i><b>t </b></i> <i><b>f</b></i> <i><b>i</b></i> <i><b>t </b></i>


suat, do am cung nhu bien ddi theo thdi gian cua cac thdng sd, he thdng cd the


<i><b>y t f </b></i>


dua ra nhung thdng bao don gian ve thdi tiet nhu: do am qua cao hoac trdi sap


<i><b>t /y </b></i>



mua hoac hdm nay trdi qua ndng, kieu nhu "Quy Ong qua map, nen giam an" d


<i><b>f </b></i>


cac can ngoai dudng phd.


<i><b>^ f t t f t </b></i>


<b>Ket qua: Cac thdng sd do nhu nhiet do, do am va cd the ca ap suat ed the duge </b>


<i><b>t y </b></i>


<i>hien thi tren man LCD, duge luu tru trong bd nhd va ddng thdi cac thdng tin nay </i>


<i><b>> f </b></i>


<i>cung duge thdng bao bang gigng ndi tieng Viet. </i>


<b>Ket luan: Day la mot trong nhieu ung dung cua mddun RPM ket hgp vdi mot vi </b>


dieu khien va cac cam bien trong cac he thdng do ludng de thdng bao ket qua
bang gigng ndi. Thiet ke nay ed the duge sir dung nhu la mot vi du tham khao
cho viec phat trien cac ung dung do ludng va dieu khien phuc tap hon nhu cac


<i><b>f y </b></i>


tram du bao thdi tiet cam tay, cac may ban hang tu dgng, do ludng tir xa, cung


<i><b>f f ' </b></i>



</div>
<span class='text_page_counter'>(16)</span><div class='page_container' data-page=16>

<b>2.2 TICH HQfP CHIP CHUYEN DUNG VAO CAC </b>


<b>HE THONG DO NHIEU M A N HIEN THI </b>



<b>2.2.1 Dat van de </b>


<i>f </i>


Trong cac he thdng do ludng dien hoac do ludng Vat ly ndi chung thudng


<i>r y y </i>


xuat hien kha nang phai quan sat nhieu dai lugng do ddng thdi (xem hinh 5).
Khi dd, ve nguyen tac phai lap cho mdi bd hien thi mot bd dieu khien hien thi.
Sd cac dai lugng do ddng thdi cang nhieu thi sd bo dieu khien cung nhieu theo


<i>f f </i>


va sd linh kien, cdng lap rap ciing theo dd ma tang len. Day la mot kha nang cd
the dua cac chip chuyen dung vao de ung dung.


<i><b>W^^ii'^^^^' </b></i>


<i><b>H-'i^-'l </b></i>


irifiiiiimrltiiimironiilfii


<i>•> f y t </i>


</div>
<span class='text_page_counter'>(17)</span><div class='page_container' data-page=17>

<i>y t t f y I </i>



Do cac bg dieu khien hien thi thudng gidng nhau ve mat cau true nen trong
trudng hgp nay ta cd the ap dung phuang phap lap trinh theo kieu mddun va
ngon ngu lap trinh la VHDL nhu da md ta d phan dau. Trong phan nay, chung


. . ' '<i> y t t </i>


tdi se thdng bao mot sd ket qua trong viec lap trinh cho cac chip dieu khien hien


<i>^ ^ f y f </i>
thi ddng thdi nhieu mddun, tren thuc te da thu vdi 3 mddun nhung ve nguyen tac


•»<i> I y f y I </i>


CO the md rgng sd mddun len nhieu hon; van de chi thudc vao sd chan cua "chip
<i>f </i>


trang" duge lira ehgn.


<b>2.2.2 Phan thirc nghiem va cac ket qua </b>


De ed duge cac chip vdi cac tinh nang can ed, qua trinh thiet ke va thuc hien
duge tien hanh theo 2 bude ca ban:


• Viet phan mem mo ta boat dgng thuc su cua mach dien can thiet ke bang
ngon ngir VHDL.


• Soat l6i, dich va nap chuong trinh vao chip.


<i>2.2.2.1 Viet phdn mem vd nap vao chip Xilinx </i>



Trong khuon kho de tai, ed 4 modun da duge thiet ke va thir nghiem, eu the
la:


1- Bg dem Johnson.
2- Bg dem nhi phan


3- Bg giai ma BCD ra ma 7 doan


4- Bg dem Johnson va giai ma thap phan.
5- Bg hien thi nhi^u man hien thi


</div>
<span class='text_page_counter'>(18)</span><div class='page_container' data-page=18>

<b>1) Bo dem Johnson </b>



<i><b>i </b></i>



Khai bao c6ng vao/ra:
Clk: in stdjogic;


Dout :inout std_logic_vector(7 downto 0));


Sai


Dung


Khdi lao
Process


Dout( 7 downto 1) <= Dout( 6 downto 0)
Dout(0)<= notdout(7)



Ket thuc
Process


Chu thich: CIK : cong Idi vao xung nhip



</div>
<span class='text_page_counter'>(19)</span><div class='page_container' data-page=19>

<b>2) Bo dem nhi phan : trong lim do nay thiet ke bo dem nhi phan 8 bit, viec </b>



tang s6' bit chi doi hoi tang them so lirong loi ra va van de nay giai quyet


bang phan mem ttrong doi don gian. Van de chi la chip duac sir dung c6 du


so chan de gan thanh cac loi ra hay khong!



<i><b>k </b></i>



Khai bao c6ng vao/ra:
Clk: in sldjogic;


Doul :inout std_logic_vector(7 downto 0));


Diing


Khai tao
Process


Dout <= Dout + 1


<i><b>^ f </b></i>


<b>/ \ </b>


Ket thuc


Process
<b>^ </b> <i>J </i>


Chu thich



Clk : loi vao xung nhip



</div>
<span class='text_page_counter'>(20)</span><div class='page_container' data-page=20>

<b>3) Bo giai ma BCD ra ma 7 doan </b>


Bat d^u ')


Khai bao c6ng vao/ra:
Clk: in std_logic;


Din: in sld_logic_vecior(3 downto 0);
Dout :inout std_logic_vector(7 downto 0));


Chu thich:


Clk: loi vao xung nhip
Din: loi vao 4 bit


Dout: loi ra 8 bit


CASE din is


WHEN "0000"
WHEN "0(H) 1"
WHEN "(X) 10"
WHEN"(M)H"


WHEN "0100"
WHEN "0101"
WHEN "0110"
WHEN "01 M"
WHEN "1000"
WHEN"I(K)1"
WHEN "1010"
WHEN "1011"
WHEN " 1100"
WHEN "1101"
WHEN "II10"
WHEN "1111"
WHEN others
END CASE;


= > r a < = "11000000"
= > r a < = "111 11001"
=>rd<="101(K)100"
= > r a < = "10110000"
= > r a < = "10011001"
= > r d < = "10010010"
= > r a < = "10000010"
=>rd<="111110(K)"
=>rd<="1000(K)00"
=>rd<="10010(KKr'
= > r d < = "10100000"
= > r a < = " 10000011"
= > r a < = " I 0 1 0 0 1 H "
= > r d < = "10100001"
= > r d < = "10000100"


= > r d < = "10001110"


</div>
<span class='text_page_counter'>(21)</span><div class='page_container' data-page=21>

<b>4) Bo dem Johnson va giai ma thap phan </b>


Bit dau ^


<b>i </b>



<i>Khai bio c6ng vao/ra: </i>
Clk: in std_logic;


Dout :inout std_logic_vector(7 downto 0));
Dir :in std_logic


Dout( 7 downto 1) <= dout( 6 downto 0)
Dout(0)<= dout(7)


Dout( 6 downto 0) <= dout( 7 downto 1)
Dout(7)<= dout(O)


<b>i </b>



Ket thuc
Process


Ket thuc
Process


Chu thich



Clk: loi vao xung nhip
Dout: loi ra 8 bit


</div>
<span class='text_page_counter'>(22)</span><div class='page_container' data-page=22>

<b>Toi uu boa phan mem </b>


Mot chi tieu ludn duge chu y den trong qua trinh soan thao va soat Idi phan


<i>y 1 f t </i>


<i>mem VHDL la giam thieu vung bi chiem tren chip, eo nhu vay mdi ed the tich </i>
hgp mot lugng Idn cac cdng Idgic tren mot chip. Sau mdi Ian dich ty le vung bi


<i>f f y t </i>


chiem ludn duge xem xet, nhd vay tat ca cac md dun deu ed the tich hgp len
chip XC9536 (chi chua 36 d macro).


<i>2.2.2.2 Xay dtmg cdc mach kiem chimg </i>


Sau khi chuong trinh da duge soan thao, duge chay thu va loai bd cac I6i thi
ed the chuyen sang bude nap phdn mem vao chip. V6 nguyen tac, sau khi duge
nap chip se duge gan chufc nang va "dat ten" dung nhu ndi dung da duge mo ta
khi thiet ke. Tuy vay viec kiem tra lai hay nhu thudng ndi la kiem chung van la


<i>ein thiet, qua dd khang dinh chang ban xem bg giai ma da dung la bg giai ma </i>


chua va qua trinh boat dgng ed lam xuat hien Idi khdng, Trong khuon kho cua
de tai nghien cuu, cac mach kiem chung cho timg trudng hgp deu da duge thiet
ke va lap rap, cho dd thdi gian danh cho cdng viec nay dai khong kem phan thiet
ke luu do va viet chuong trinh da neu d tren



<i>Bp giai md BCD sang 7 thanh </i>


De minh chung tinh diing dan va kha nang boat dgng cua bg giai ma BCD
sang 7 thanh mot bg dem nhi phan 4 bit va giai ma BCD sang 7 thanh da duge
tich hgp tren cung mot chip Xilinx. Tren mach dien minh boa, chip duge ndi vdi
mot LED 7 thanh (ddng vai trd hien thi ket qua) va mot bg tao xung nhip cap
cho bg dem dung vi mach djnh thdi gian NE555P. Loi ra eiia bg tao xung
NE555P duge ndi true tiep tdi chan clock GCKl (chan 5) cua chip XilTn
XC9536. Cac dien trd va tu dien diing vdi NE555 duge lua ehgn de xung nhip
ed tan sd 22 Hz (dii de ket qua hien thj khong bi nha'p nhay !).


Viec nap chuang trinh vao chip duge thuc hien thong qua cap JTag (theo
chuan JTAG !) va phan mem cdng cu Xilinx ISE. Viec nap chuang trinh cho mot
chip Xilinx bat ky (trong trudng hgp nay su dung chip XC9536) ed the tien hanh
ngay ca khi chip dang duge gan tren mot ban mach ung dung. Cap Jtag cho phep
lap trinh, kidm tra, xoa va kiem tra chuc nang cua cac chip Xilinx XC9536.


</div>
<span class='text_page_counter'>(23)</span><div class='page_container' data-page=23>

vcc
<b>• 0 </b>
<b>2 </b>
<b>5 </b>
<b>R </b>
<b>TRIG </b>
<b>CVott </b>
<b>LM555 </b>
<b>1—C2 </b>
<b>104 </b>
0 0
B Q


>
<b>DtS </b>
<b>GN</b>
<b>D </b>

<b>-IC2 </b>
<b>3 </b>
<b>7 </b>
<b>6 </b>
T

<b>1 </b>


<b>IMT </b>
<b>CI </b>
473zzf:
<b>lO/GTSl </b>
<b>I0«TS2 </b>
42
40
<b>7 </b>
<b>1 </b>
<b>3 </b>
<b>25 </b>
8
<b>9 </b>
<b>11 </b>
<b>12 </b>
<b>13 </b>
<b>14 </b>
<b>18 </b>
<b>19 </b>

<b>20 </b>
<b>22 </b>
<b>24 </b>
<b>Jl </b>
<b>JTAG </b>


CN r*> ^ ã<-, ô o


70 C


<b>ICl </b>


g H OTD OUT


<b>r " O TDDJ </b>


o u u
o o >
IOJGCKJ
<b>I0/GCK2 </b>
<b>lO/GCIO </b>
<b>10 </b>
<b>10 </b>
<b>10 </b>
<b>10 </b>
<b>10 </b>
<b>10 </b>
<b>10 </b>
<b>10 </b>
<b>10 </b>


<b>10 </b>
<b>10 </b>
<b>10 </b>
<b>10 </b>
<b>10 </b>


Q Q Q
o o o


<i>XC9536 </i>
<b></b>
<b>TCKcfTMS </b>
<b>-10 </b>
<b>10 </b>
<b>10 </b>
<b>lO/GSR </b>
<b>10 </b>
<b>10 </b>
<b>10 </b>
<b>10 </b>
<i><b>10 h </b></i>
<i><b>10 </b></i>
<b>\-10 </b>
<b>i-10 </b>
<b>V-10 </b>
<i><b>i-10 </b></i>
<b>\-10 </b>
i-30
<b>15 </b>
<b>17 </b>


<b>16 </b>
<b>39 </b>
37
35
33
<b>( 29 </b>
28
<i><b>26 </b></i>
38
43
<i>26 </i>
27
34
5oO


<b>- • </b><sub>-OSlZh </sub>


-CIS:


<i></i>


<b>•r-TJ-A—I--I R7 </b>


t-- C : S 2 Z >


<b>10 </b>
<b>LI </b>
<b>b O </b>
<b>O </b>
e


<b>f </b>
<b>p </b>
c
<b>! ^ </b>
<b>d 2; </b>
•SEG NHO


//m/i 6: So do nguyen t^c ciia mach dien minh chung kha nang
boat dong cua chip giai ma BCD sang 7 thanh


<i><b>i '^ m^ -^'-s^ </b></i>


<i>Hinh 7: Ban mach minh chumg kha nang boat dong cua chip giai </i>


</div>
<span class='text_page_counter'>(24)</span><div class='page_container' data-page=24>

.Mach dien sau khi lap rap va di^u chinh duge ehi ra tren hinh 7. Ta ed the
d6 dang nhan tha'y chip Xilinx nam d chinh giiia eiia mach.


Phia b6n trai la vi mach NE555 duge dung de tao xung dem. Phia ben phai la
mdt LED 7 thanh hien thi ket qua dem sd xung da dua vao chip Xilinx. Vi bg
dem nhi phan duge thiet ke d day la bg dem nhi phan 4 bit, nen ket qua hien thi
<i>tr6n LED 7 thanh se la t\i 0 den 15, trong dd t\i 0 den 9 la dudi dang chir sd edn </i>
tiir 10 den 16 la dudi dang ehi? cai: a, b, e, d, E, F.


<i><b>Bo dem Johnson vd giai md thap phdn </b></i>


Ban mach minh chiing duge mieu ta d day la mot cdng cu minh boa cho kha
nang lap trinh trong he thdng (tiie kha nang thay doi chuang trinh cho chip ngay
<i>trong ban mach ung dung ma khdng ein phai thao chip va dung mot ban mach </i>
nap chuyen dung).



<i>Mo td thiet ke </i>


<i>Thiet ke thuc hien mot bg dem Johnson 8 bit. Loi ra eiia bg dem di6u khien 8 </i>
LED cho phep quan sat tinh trang boat dgng eiia bg dem. Mot vi mach dinh thdi
gian NE555 duge sir dung de tao xung nhip cap cho bg dem. Loi ra eiia bg tao
xung NE555 duge ndi true tiep tdi chan clock CLKl (chan 5) eiia chip Xilinx (d
day la XC9536). Cac dien trd va tu dien trong mach tao xung NE555 cung duge
lua ehgn de tao ra xung ed tan sd 22 Hz. Chuang trinh ciing duge nap vao chip
thdng qua cap JTag gidng nhu d trudng hgp tren. So do nguyen ly eiia bg dem
Jonson duge chi ra trong hinh 8.


I r i p-i ^ o o


<b>! </b>


lO-CTSl
ICWTTO


<i><b>v'-^ </b></i>



<i>a </i>


S 3 o TDIH


<i><b>-^^•^•X </b></i>

<i>rrT~r\ </i>


<i><b>t r </b></i>


<i><b>Hi </b></i>


lOCCKl
<i>IOKJCK3 </i>

<i>Kxaa </i>
10
10
[O
10
10
ro
10
10
10
10
10
10
10
10 D O O


•nc


[O


10
10


[ O C S l


10
10
10
10
10


10
10
10
10
10
10
2 q


</div>
<span class='text_page_counter'>(25)</span><div class='page_container' data-page=25>

Mach di6n sau khi lap rap va di6u chinh duge ehi ra tren hinh 8.


<b>. < ? j ^ « « » . - t - ^ ' > ^ r ^ ' B ' > . f « t * - ^ ^ f ^ ' </b>


* ^ & > i i


<i>Hinh 9: Mach dien minh chumg kha nang boat dong cua chip bo dem Johnson. </i>


Ve ca ban thi mach dien nay ehi khac mach d hinh 9 la mot day 8 LED dan
da thay the cho LED 7 thanh. Khi bat dien cac LED se Ian lugt sang phu hgp vdi
gian do thdi gian d cac idi ra cua bg dem Johnson.


Theo thiet ke truyen thdng thi he thdng do tren day se bao gom 5 cam bien


<i><b>f</b></i> <i><b>t t f </b></i> <i><b>f</b></i> <i><b>t </b></i>


nhiet, mdt cam bien do am, cac mach chuan tin hieu tu cam bien, cac bd bien doi


<i><b>I •? t f f </b></i>


tuong tu-sd de chuyen tin hieu vao mdt vi xu ly, cudi cung la cac khdi giai ma



<i><b>\ 9 1 •> y r f </b></i>


va dieu khien de dua ra 3 bd hien thi LED 7 thanh. So do khdi cua he thong c6


<i><b>t</b></i> <i><b>i</b></i> <i><b>t f </b></i>


the hinh dung la rat phuc tap. De don gian cho viec tich hgp tren chip, he thong


\<i> r </i>


<i>duge chia ra thanh nhimg mddun va nit ggn thanh so ddi khdi nhu hinh 10. </i>


<b>CBNDI </b>


<b>CBND 2 </b>


<b>CBND 3 </b>


<b>CBND 4 </b>


<b>"L; </b>


<b>1 </b>



r^



<b>CBND 5 </b>


<b>1 — . . </b>


<b>1 </b>




PSoC



<b>f </b>



<b>CBDA_I </b>




<b>t </b>



XC9536
7


' 3


<b>T </b>


LED 1
NhdoTB


' 3


<b>T </b>


LED 2
Nhdp 5


<i>" 3 </i>



<b>V </b>


LED 3
Do am


<i><b>y I f </b></i>


</div>
<span class='text_page_counter'>(26)</span><div class='page_container' data-page=26>

Cam biSn nhiet do duge sii dung la loai NTC833 (do -400C den lOOoC),


<i>I •> f </i>


con cam bien do am la loai HM1520 (cua Honeywell), nghia la tin hieu d loi ra


<i>I y y , </i>


eiia cac cam bien deu la tin hieu dien ap nen phan xu ly tin hieu sau cam bien cd


<i>I</i> <i>I </i> <i>I</i> <i>t I </i>


ca khdi khuech dai va bien ddi tuong tu sd. Do vay, chip PSoC CY8C27443 da
duge lira ehgn. Cdng cu PSoC Designer cua Cypress cho phep tir cac tai nguyen


<i>1^ I I </i> <i>I</i> <i>f r t </i>


CO san thiet lap cac bd khuech dai cd he sd khuech dai dat duge va bd bien ddi
AD tuong tu-sd vdi do phan giai dat duge. Do viec hien thi nhiet do va do am


<i>y I f </i>


chi can den 3 con sd (digit) nen do phan giai trong trudng hgp nay duge dat la


<i>10 bit. Chip PSoC duge lap trinh bang Assembly de thuc hien vai tro cua mdt vi </i>


<i>y 1 t y f y</i> •>


dieu khien, cu the la Ian lugt dgc dir lieu tir cac cam biai rdi xu ly va chuyen sang


<i>t t </i>


chip XC9536 de dua ra hien thL


<i>t y f </i>


De dua ra so do dan gian nay, rd rang la mdt sd mddun da duge tich hgp


<i>t </i>


vao trong chip CPLD. Cu the la:


- Bd dem Johnson cho khdi quet ddng
- Bd dem nhj phan


- Bd giai ma BCD sang ma 7 thanh.


A<i> I y</i> ^ >


Cac mddun nay deu da duge trinh bay chi tiet d phan tren, tir luu dd phan


<i>f</i> - ^<i> f y </i>


lap trinh den cac mach thu nghiem. Ta de dang nhan thay d phan giua la chip



<i>f I </i>


Xilinx XC9536 da duge dat eau hinh thanh mdt bd dem nhi phan 4 bit va giai
ma BCD sang 7 thanh.


<i>f </i>


Xung tir bg tao xung nhip NE555 duge dua true tiep vao chan clock GCKl
<i>X I </i>


(chan 5) cua chip XC9536. Tan sd xung nhip duge lira ehgn thdng qua R, C cua


><i> t r t </i>


mach tao xung NE555 sao cho cd gia tri bang 22 Hz, du de ket qua hien thi tren


<i>I f f ' f </i>


LED khdng bi nhap nhay. Do bd dem nhi phan duge thiet ke thanh 4 bit nen ket


<i>t f y y f </i>


qua hien thi tren LED 7 thanh chay tir 0 den 15 rdi lai trd ve 0, trong do tir 0 den


/<i> f </i>


<i>9 la dudi dang chir sd edn tir 10 den 16 la dudi dang chir cai: A, b, c, d, E, F. </i>


<i>Phuang phap tich hgp bd giai ma BCD duge md rgng cho cac khdi edn lai, </i>


cudi ciing toan bd he thdng do ludng vat ly duge thu ggn nhu tren hinh 11 (trang
sau).


<b>2.2.3 Cac ket qua va ban luan </b>


Nhd tich hgp hai chip chuyen dung PSoC CY8C27443 cua Cypress va


<i>f t </i>


</div>
<span class='text_page_counter'>(27)</span><div class='page_container' data-page=27>

v^ mat phan cung, trong khi thiet ke tren cac vi mach rieng re phai c4n den mot
dien tich ban mach Ion g4p 3-4 Ian, vai cac duang dan phuc tap.


Su hoat dong cua cac mach dien thu nghiem cho th4y cac chip duge dinh
cau hinh bang chuang trinh hoat dgng dung chuc nang ciia mot chip chuyen
dung thuc su.


Viec dat lai cau hinh bang phan mim cho phep cac chip c6 th8 thay ddi


<i>t t </i>


chuc nang de chuyen sang dung trong mot ung dung khac, cho thay tinh linh
hoat ciia ky thuat chip lap trinh.


Thuc te cho thay viec thiet ke mot he thong do luang vat ly noi rieng va he
thdng dien tu ndi chung tieu ton thdi gian ehii yeu cho viec viet va chay thu
chuang trinh nap vao chip, edn phan mach dien trd nen rat dan gian. Vi vay khi
da xay dung xong mdt he thdng mau (prototype) thi viec nhan ban cho cac img
dung khac trd nen don gian.


<i>^•JfH-iMttf-t^Si^i^ </i>



<i>Hinh J J: Toan bo he thong do Ivt&ng sau khi tich hop cac khoi </i>


chirc nang vao trong hai chip.


<i>Ket ludn: Til eac chip mang dae tinh eiia mot sd mddun sd eo ban, cac mach </i>


kiem ehutig da duge lap rap. Hoat dgng eiia hai mach dien trong hinh 7 va 9


<i>duge the hien qua tinh trang sang tdi eiia cac LED hoan toan phii hgp vdi dae </i>


tinh cua mach da thiet ke chung td la hai chip da duge gan eac chufc nang phii
hgp bang phdn mem viet bang ngon ngir VHDL.


</div>
<span class='text_page_counter'>(28)</span><div class='page_container' data-page=28>

<b>2.3 THIET KE VA TICH HOP CAC CHIP FPGA/PLD VAO </b>


<b>HE THONG THU N H A N ANH VE TINH KHI T L T O N G . </b>


<b>• • • . </b>


<b>2.3.1 Cac birdc thirc hien mot project tren FPGA </b>
<i><b>I I y y </b></i>


Trong thiet ke phan cimg bang ngon ngir (HDL: Hardware Desciption
Language) ngoai each diing cac ngon ngu md ta phan cimg nhu ABEL, VHDL
hoac Verilog,... con cd mdt phuang phap kha tien dung, dd la su dung ky thuat


<i><b>> </b></i> <i><b>t</b></i> <i><b>i</b></i> <i><b>f</b></i> <i><b>f </b></i>


dd boa. Vdi phuang phap nay ngudi diing cd the thiet ke cac he thdng tir don



<i>I 1H </i>
gian den phuc tap mdt each nhanh chdng dua tren thu vien cd san hay tu minh


<i><b>> t m^ </b></i>


tao ra bang each sir dung cac ngon ngii ke tren. Dua vao mdi dae tinh cua timg


<i><b>t </b></i> <i><b>I</b></i> <i><b>I </b></i>


bg chip cu the, nha san xuat cung cap cho ngudi diing mdt thu vien tuong ung,
<i>> y f </i>
cac thanh phan cd trong thu vien la nhimg thanh phan ca ban, chu yeu ma ngudi


<i>X / f f f </i>


diing thudng cd nhu cau sii dung den. Trong qua trinh thiet ke, neu ngudi diing


<i><b>r y y f </b></i> <i><b>i</b></i> <i><b>f •> </b></i>


tim khdng thay thanh phan can thiet cho thiet ke cua minh thi ed the tu tao ra no
bang each diing cac thanh phan trong thu vien de xay dung nen hoac diing cac
ngon ngir da ndi tren de md ta chiing rdi luu trir lai. LTu diem ciia phuang phap


<i><b>, f r f </b></i>


do boa la: tinh true quan, nhanh chdng trong thiet ke cac he thdng vira va nhd,


<i><b>f f f y y y i </b></i>



khdng ddi bdi ngudi thiet ke phai biet nhieu ve ngdn ngir md ta phan cung, tiet


<i>\ f > </i>
kiem tai nguyen tren chip (dung lugng phan su dung den). Thdi gian can cho


<i><b>I</b></i> <i><b>f f I </b></i> <i><b>t</b></i> <i><b>r</b></i> <i><b>y \ </b></i>


thiet ke cang riit ngan neu ngudi diing da thiet ke phan cung ung dung mdt phan


<i><b>> t y </b></i>


hoac toan bd bang cac limb kien rdi rac. Nhuge diem cua phuang phap dd hoa la
<i>f f f f I y y f </i>
nd ddi bdi ngudi thiet ke phai nam rd eau tnie cua he thdng phan cimg can thiet
ke. Trong khi van de nay trd nen don gian hon neu diing ngdn ngu de md ta, bdi


<i>> f I f f y f y </i>
vi nd khdng yeu cau ngudi thiet ke biet chi tiet hoac tudng tan ve eau tnie phan


<i><b>X </b></i> <i><b>I</b></i> <i><b>f</b></i> <i><b>f </b></i>


cung, ma ehi yeu cau ngudi thiet ke nam duge nguyen ly boat ddng cua no, thong
qua bang chan ly la da ed the md ta duge nd rdi. Nhung phuang phap diing ngdn


<i><b>t f f f </b></i>


ngir md ta cung cd nhuge diem la thudng rat tdn tai nguyen eiia chip, bdi eau triic
ben trong he thdng duge ngudi diing md ta se duge phan mem thiet ke tu dong
e4u tnie (dat c^u hinh) thdng qua cau lenh ma ngudi thiet ke da viet ra, vi vay
khdng tranh khdi sir triing lap trong viec sir dung cac phan tir (yeu td) logic (thanh



<i><b>, f y I </b></i>


phan chinh cua chip), chinh vi the ma gan nhu hang nam, cac nha san xuat chip


<i><b>f y y t f -• \ I </b></i>


</div>
<span class='text_page_counter'>(29)</span><div class='page_container' data-page=29>

<i>f f y * * </i>
Sau day xin trinh bay rd ban phuang phap thiet ke phan cung bang do hoa,


s ^<i> I </i>


tren ca sd sir dung phan mem thiet Maxplus2 cua Altera (My).
Giao dien ciia ciia phan mem Maxplus2 cd dang nhu sau:


<b>MAX'^pius II Manager - d:\hieu\fpga_aiteraUrltWbkhun& </b>


<b>MAX+pkjsII File Assign Options Help </b>


<b>D : ( ^</b><i><b> ^y </b></i>

<i>k7 i>^m3Bs m A Bii@@ </i>



<i><b>. ' ^< </b></i>


<i>Hinh 12: Giao dien ciia ciia phan mem MaxplusZ. </i>


<i>2.3.1.1 Tao mot project </i>


<i>y ^ f f t </i>
Bude dau tien ta phai xac dinh ten ciia project thiet ke. Trong eira so



Manager, tra ehgn File | Project | name de md hop thoai. Sau dd danh ten ciia project
cua minh vao d Project Name, ehgn thu muc ma minh can lam viec va an OK.


<b>PnycctNanif,_;u^4^ </b>


<b>Project Name: S S </b>


<b>Directoiyis: c:\max2work\tutorial </b>


<b>Files: Directories: </b>


<b>B c : \ </b>


<i>{r^ max2work </i>


Drives:


<b>Show Only Tops of Hierarchies </b>


<b>OK </b> Cancel


<i>Hinh 13: Chi ro ten va thu- muc lam viec cua project. </i>


</div>
<span class='text_page_counter'>(30)</span><div class='page_container' data-page=30>

<b>MAX^plus II c:Vnax2workUutor1alWd1 -[yd1,g^f "GfapWpE^jtorJ. </b>


<b>• ^ MAX-fpkjf n Fie Edt View SyirM Assign UtJRJes Opbons Wnjow Help </b>


<b>A </b>


<b>n </b>



<b>\ </b>


<b>O </b>


<i>Hinh 14: Man hinh cua Graphic Editor. </i>


<i>I</i> <i>I y</i> •>


Bude ke tiep la ve so dd. Trong cua sd Manager ta ehgn MAX + PLUS II |


<i>•> f t </i>
Graphic Editor. Cua sd Graphic Editor xuat hien ben trong cua sd Manager. Ta


cd the di chuyen hoac dinh lai kich thudc cua sd Graphic Editor va tang them


<i>t t f t </i>


kich thudc cua cOra sd Manager de eung cap them khdng gian lam viec. 0 hinh
14, cua sd Graphic Editor duge cue dai boa sao cho choan bet ehd toan bo eira
sd Manager.


Thanh tieu de d hinh 14 bao gom mdt sd ten menu va bieu tugng, cac ten


<i>f</i> > -1


nay da khdng xuat hien d hinh 12. Dieu nay la do cua sd Manager ludn ludn
<i>I H f </i>


chi ra cac muc ed san trong bat ky ling dung nao hien dang duge su dung. Mot



<i>I</i> <i>t t f </i>


sd bieu tugng duge su dung de ggi cac muc cua Graphic Editor ciing xuat hien
dgc theo eanh ben trai cua cua sd.


<i>t f f . ^ 1 </i>
De thay md ta cua mdt muc ciia Graphic Editor ket hgp vdi mdi bieu tugng,
dinh vi chudt len tren bieu tugng; mot thdng bao duge hien thi gan dudi day cua


<i>•> t f </i>


eira sd. Hai bieu tugng thdng dung nhat la


<i>t f </i>


cac bieu tugng trong gidng nhu kinh liip.


<i>t t f </i>


<i>Cac bieu tugng nay duge su dung de thay </i>
hinh cua so dd Ion hon hoac nhd hon.


<i>2.3.1.2 Dat ten cho sa do </i>


So dd duge tao ra phai duge dat ten.
Ta ehgn File | Save as de md hop pop-up


<i>duge mieu ta d hinh 15. Thu muc ma ta da </i>



<i>ehgn cho project nay da duge ehgn trong </i>
hop pop-up. Graphic Editor se tao ra mot


</div>
<span class='text_page_counter'>(31)</span><div class='page_container' data-page=31>

tap tin rieng cho so do va liru tap tin trong thu muc cua project. Trong hop c6
nhan File Name, ta chon VDl.gdf. Ta phai su dung ten nay mot each chinh xac.
Ten VDI phai phu hgrp vai ten ciia project va ph4n ma rong la gdf, chii vilt tit
ciia graphic design file (tap tin thiet ke do hoa), phai duac su dung cho tat ca cac


<i>y I t y </i>


<i>so do. Nhap OK de trd ve Graphic Editor . </i>


<i>2.3.1.3 Nhdp vdo cdc ky hieu cong </i>
<i>logic </i>


Graphic Editor cung cap vai
thu vien chiia cac phan tur mach, cac


<i>^ t </i>
phan tii nay cd the duge nhap vao
trong so dd. Vdi thi du dan gian eila
ta, ta se sii dung thu vien cd ten la
Primitives, thu vien nay chiia cac


<i>t t </i>


cdng logic CO ban. De truy cap thu


<i>I </i>



vien, ta nhap ddi chudt vao khoang
trong d giira man hinh Graphic
Editor de md hop pop-up d hinh 16
(each khac de md hop nay la ehgn
Symbol | Enter Symbol).


Hop cd nhan Symbol Libraries


<b>.gnler Symbol </b>


Symbol Name: J


MegaWizard Plug-In Manager.
Symbol Libraries:


c:\max2work\tutorial
c: \maxplus2\max2lib\prim
c: \maxplus2\max2lib\mf


; c:\maxplus2\max2lib\mega_lpm


Directory is: c:\max2work\tutorial


Symbol Files: Directories:
| ^ c : \


p=> max2work


Drives
c:



OK Cancel


<i>Hinh 16: Nhap mot cong logic tir thu* vien </i>


<^r<i> ^ t </i>


liet ke vai thu vien ed san, bao gdm thu vien Primitives. De md thu vien nay, ta


<i>I f y t </i>
nhap ddi chudt tren ddng ket thiic bang chir prim. Mdt danh sach eac cdng logic


<i>t r </i>
<i>trong thu vien duge tu ddng hien thi trong hop Symbol Files. Ta nhap ddi chuot </i>


<i>t y •> </i>


vao ky hieu and2 de nhap ky hieu nay vao trong so dd (ta cd the thuc hien each


<i>f y f</i> • >


khac, nhap chudt vao and2 rdi nhap OK). Ky hieu cdng AND2 - ngd vao liic


<i>f t </i>


nay xuat hien ben trong eira sd Graphic Editor.


<i>f > T > </i>


</div>
<span class='text_page_counter'>(32)</span><div class='page_container' data-page=32>

<i>Option/ShowGuideline. Khoang each giira cac dudng cd the duge hieu chinh </i>


bang each sii dung Option/ Guideline Spacing.


<b>WAX+plus II c:\maK2wDrkttutoriaI\vd1 -[vd1,gdf-Graphic Editor] </b>


<b>^ MAX+phjs n Fie Edt View Symbol Assign Utities Options Window Help </b>


<b>A &*A </b>


A


<b>n </b>



<b>\ </b>


<b>o </b>



<b>PIN_NAME </b>
<b>PIN NAME </b>


i.^f:-'


<i>Ufr^J </i>


<b>I> </b>

<b>-I > PIN_NAME </b>
<b>PIN NAME </b>


<i>Hinh 17: Scr do hoan tat tirag phan tir. </i>


Ham logic yeu cau cdng AND 2 - ngd vao thir hai, mdt cdng OR 2 - ngo



<i>t '' </i>


vao va mdt cdng NOT. Ta su dung cac bude sau day de nhap chiing vao trong so
do.


<i>t t </i>


Dinh vj con trd chudt tren ky hieu cdng AND ma ta da nhap vao, an giir
phim Ctrl cua ban phim, nhap va re chudt ra khdi ky hieu cdng AND. Graphic
Editor tu ddng sao chep ky hieu cdng AND. Thii tuc tat (shortcut) nay cho ta
sao chep mdt phan tii mach mdt each thuan Igi khi ta can nhieu ban sao eiia
cimg mdt phan tu trong so dd. DT nhien mdt phuang phap khac la nhap vao timg
trudng hgp cua phan tu bang each md thu vien Primitives nhu duge md ta nhu
tren.


Ta nhap ky hieu cdng OR va cdng NOT vdi ciing phuang phap da md ta
khi nhap ky hieu cdng AND. De tim cac cong eo ban , ta sir dung thanh cugn


</div>
<span class='text_page_counter'>(33)</span><div class='page_container' data-page=33>

<i>y t t y </i>


Cac ky hieu trong so dd cd the duge di chuyen bang each ehgn chiing va re


^<i> t </i>


chudt, nhu da duge giai thich d tren. Nhieu ban mdt ky hieu ed the duge ehgn


» ^<i> I </i>


ddng thdi bang each nhap chudt va keo mdt dudng bao quanh cac ky hieu. Cac



,<i> t y f , </i>


<i>ky hieu duge ehgn se duge di chuyen ciing nhau bang each nhap chudt tren bat </i>
ky ky hieu nao trong chiing va di chuyen. Ta nen thir nghiem vdi thii tuc nay. Ta
sap xep cac ky hieu sao cho so dd xuat hien gidng nhu so do d hinh 17.


<i>2.3.1.4 Nhap cdc ky hieu ngo vdo vd ngd ra </i>


<i>Den day cac ky hieu cdng logic da duge dua vao, ta can nhap cac ky hieu </i>
de bieu dien cac port ngd vao va ngd ra ciia mach. Ta md thu vien Primitives Ian
nira.


<i>I</i> <i>f </i> <i>f</i> <i>t </i>


Ta nhap chudt bat cii noi nao trong hop cd nhan Symbol Files va ke den go
ky tu "i" de nhay qua danh sach ky hieu den danh sach eac ten bat dau bang chir


<i>f</i> <i>t I </i>


<i>i. Bien phap tat nay ed the duge sii dung ciing vdi cac thanh cugn duge eung cap </i>


<b>* </b>


tren hop Symbol File, ta nhap ky hieu cd ten la input vao so dd. Ta nhap them


<i>t t m^ </i>


hai trudng hgp nira cho ky hieu nhap. De bieu dien ngd ra ciia mach, ta md thu


<i>f f </i>



vien Primitives va nhap ky hieu cd ten la output. Sap xep cac ky hieu nhu duge
minh boa dhinh 17.


<i>2.3.1.5 Gdn ten cho cdc ky hieu ngo vdo vd ngo ra </i>


Ta ehd den chir PIN_NAME tren ky hieu chan ngd vao d gdc trai tren ciia
<i>S I </i>


so dd va nhap ddi chudt. Ten cua chan duge ehgn, cho phep ta go vao ten mdi
cua chan. Ta go xl lam ten ciia chan nay. Viec go phim Enter ngay sau khi go


<i>t f f </i>


ten chan lam cho chudt tap trung di chuyen den chan mdt each true tiep ben dudi
<i>chan dang duge dat ra. Phuang phap nay ed the duge su dung de dat ten mot sd </i>
bat ky eac chan. Ta gan cac ten x2 va x3 cho eac chan ngd vao d dudi theo thir


<i>f </i>


tu. Sau ciing ta gan ten f cho chan ngd ra.


<i>2.3.1.6 Noi day cdc niit </i>


</div>
<span class='text_page_counter'>(34)</span><div class='page_container' data-page=34>

hinh hoac cac day den cac ky hieu ket ndi. Che do thich hgp duge ehgn phu
thudc vao vj tri chudt dang trd den.


Di chuyen eon trd chuot len ky hieu ngd vao xl. Con trd chuot xuat hien
dudi dang dSu miii t6n khi trd vao ba't eii nai nao tren bieu tugng ngoai trii d eanh
ben phai. DSu miii ten ed nghia la ky hieu se duge lua ehgn neu niit chudt duge


an. Ta di chuyen chudt trd den dudng nhd, ggi la pinstub, tren eanh phai eiia ky
hieu ngd vao x l . Con trd chudt thay doi thay doi thanh mot crosshair, cho phep
mot day duge ve de ndi pinstub den mot vi tri khac tren so dd. Mot ket ndi giQa
hai hay nhieu pinstub trong sa do duge ggi la mot niit (node). Ten nay xuat phat
tir thuat ngir ve dien, trong dd thuat ngii niit tham chieu den mdt sd diem bat ky
trong mot mach duge ket ndi vdi nhau bang cac day va do vay ed ciing mot dien
ap.


Ta ndi ky hieu ngd vao eiia xl den cong AND d phia tren ciia so dd nhu
sau. Trong khi chudt dang trd tdi pinstub ky hieu xl, ta nhap va giu niit chudt.
<i>Re chudt vi phia ben phai cho den khi dudng (day) duge ve dat den pinstub tren </i>
ngd vao cua cong AND; ke den nha niit chudt. Hai pinstub da duge ket ndi va
bieu di6n mdt niit dan tren mach.


<b>ii« MAXtplus II -c:Vnax2workUutorial\vdT -rvdl.eiif^'Crdphic </b>


Ldttorl-* ^ MAX+plus II File Edit View Symbol Assign Utilities Options Window Help


<i><b>^;;&M;J^.,^»^'1>.±^. ...' — </b></i>


<i><b>-fct w f ntm I M U A M i n . ^ </b></i>


<b>D i^y # </b>

<i>"o 1^? i:^^mBBS iM^*A m </i>

<i>•'Qt> ^0> ^^ ""^^ </i>


<i>A B - A = 0 - zfTi: </i>


<i>k </i>


A



<b>n </b>


\


<b>o </b>



€1


<


<i><b>x i I y </b></i>


<i>X2 I y </i>


X3 I >


<b>O n </b>



<i><b>Y </b></i>

<b><sub>3 > </sub></b>

-IZZ> F


</div>
<span class='text_page_counter'>(35)</span><div class='page_container' data-page=35>

Ta sir dung cimg thu tuc de ve mdt day tir pinstub tren ky hieu ngd vao x2
defn ngo vao khac tr6n cong AND. Ke den ve mot day tir pinstub tren ngd vao
<b>cua c6ng NOT ve phia tren cho den khi dat den day dang ndi x2 vdi cong AND. </b>
Nha nut chudt va quan sat thay ed mot diem ndi duge tu ddng ve. Ba pinstub
tuong ling vdi ky hieu ngd vao x2, ngd vao cong AND va ngd vao cong NOT liie
nay bi^u di6n mot niit dan trong mach.


<i>Di hoan tat sa dd, ta ndi ngd ra eiia cong NOT vdi cong AND phia dudi va </i>


ndi ky hieu ngd vao cua x3 den eung cong AND dd. Ta ndi cac ngd ra cua 2
e6ng AND vdi cong OR va ndi cong OR vdi ky hieu ngd ra f. Neu cd bat ky Idi


nao duge tao ra trong khi bet ket ndi cac ky hieu, eac day bi nham cd the duge
ehgn bang chudt va den loai bd bang each gd phimDelete hoac bang each ehgn
Edit/Delete. Sa do hoan tat duge md ta d hinh 18. Ta luu sa dd nay bang each sir
dung File/Save.


<i>Do thi du cua ta ra't dan gian, ta di dang ve tat ca eac day trong mach ma </i>
khdng tao ra mdt sa do hdn don. Tuy nhien, trong cac sa dd Idn mot sd nut can
duge ket ndi ed the tach xa nhau, trong trudng hgp nay that bat tien de ve cac
day giiJa chiing. Trong eac trudng hgp nhu vay, cac niit duge ket ndi bang each
gan cac nhan cho chiing thay vi ta ve cac day.


<b>2.3,2 Tong hgp mach tir sa do </b>


Sau khi mot so dd da duge dua vao trong mot he thdng CAD, sa dd nay
duge xir ly bang cac tong hgp ban dau. Cac cdng nay phan tich so do tao ra mot
phuang trinh logic cho mdi ham logic trong mach. trong MAX + PLUS II, eac
cdng cu tong hgp duge dieu khien bdi chuong trinh umg dung duge ggi la
Compiler.


<i><b>Sit dung Compiler </b></i>


De md eira so Compiler, ta nhap chudt tren bieu tugng Compiler hoac ehgn
MAXPLUSIII Compiler.


</div>
<span class='text_page_counter'>(36)</span><div class='page_container' data-page=36>

M6-dun CO t6n la Functional SNF Extractor se tao ra mot tap tin, duac gpi la tap
tin netlist ciia trinh mo phong SNF (simulator netlist file), tap tin nay mo ta chuc
nang ciia mach va duge sir dung bai trinh mo phong chuc nang.


Ta nha'p chuot len nut Start trong ciia so Compiler. Compiler chi ra qua
trinh cua minh bang each hien thi mot thanh qua trinh mau do va bang each dua


vao mot bieu tugng duofi mot mo-dun phan mem khi chiing duge thuc thi. Khi
Compiler ket thuc, mot cira so se duge hien thi de chi ra khong c6 cac eanh bao
va cac I6i. Nha'p OK trong cua so nay de tra ve cira so Compiler.


BfiiTiliiihiftnt


<i><b>»• \l MAX+plus If - c:^max2work\tutorial\vd1 </b></i>


MAX+plus II File Processing Interfaces Assign Options Window Help


<i><b>WB..:^^^L ^ </b></i>


<b>Jl$ Compiler </b>
C o m p i l e r


Netlist


E x t r a c t o r


<b>Database Logic </b>


<b>Builder Synthesizei Partitioner Fitter </b>


<b>Timing </b>


<b>SNF Assembler </b>
<b>Extractor </b>


cnfl



50 100


<b>Start </b>


<i>Hinh 19: Man hinh cua compiler. </i>


Neu Compiler khdng ehi ra rang khdng ed eanh bao va Idi, tdi thi^u cd mot
Idi da duge ra khi ta nhap ho sa. Trong trudng hgp nay Compiler md mot cira so
ggi la Message Processor, eira so hien thi mot thdng bao lien quan den mdi mot
eanh bao hoac Idi duge tao ra. Mot thi du trinh bay each thiie Message Processor
ed the duge sir dung de dinh vi nhanh va sira chiia cac Idi trong mot so do . De
ddng eira so Compiler, ta sir dung niit Close (ed dang X) duge dat d gdc ben phai
eiia eira so nay.


<i>2.3.2.1 Thuc hien mo phong chirc ndng </i>


<i>Trude khi sa dd duge md phong, ta can phai tao ra cac dang sdng mong </i>


</div>
<span class='text_page_counter'>(37)</span><div class='page_container' data-page=37>

test vectors ed th^ duge md ra bang each sir dung Help MAX+PLUS II Table of
Contents. Ta nha'p chudt vao Simulator, ke den nhap chudt vao Basic Tools va
sau cung nha'p chudt vao Vector File(vee).


<i>2.3.2.2 Su dung Waveform Editor </i>


Ta md cua so Waveform Editor bang each ehgn MAX+PLUS 11/ Waveform
Editor.Do Waveform Editor ed nhieu cdng dung, ta can ehi rd ta mudn dua vao
eac test vectors cho cac muc dich md phdng. Ta ehgn File/Save As va gd (neu
khdng cd san d day) vdl.sef trong hop duge danh nhan File Name. Mot tap tin
ed phSn md rgng la .sef se luu cac dang sdng ma ta se duge khi md phdng bang
each sir dung test vectors.



Ta ehgn Node/Enter Nodes from SCF de md mot hop pop-up duge trinh bay
d hinh 29. Ta nhap chudt tren niit List d gdc phai tren cua hop nay de hien thi
cac ten eiia cac niit trong project hien hanh, trong hop duge danh nhan la
Available Nodes & Groups. Ta nhap chudt tren ten x3 de lam noi bat tren nay.
Ta nha'p chudt tren niit ed nhan la ^ de sao chep x3 vao trong hop cd nhan
Selected Nodes & Groups.


Ta sir dung cac thu tuc nay de ehgn timg tin hieu khac va sao chep chiing
vao trong hop Selected Nodes & Groups.. Ta ciing ed the ehgn nhi6u niit ddng
thdi bang each re chudt hudng len hoac hudng xudng ben trong hop Available
Nodes & Groups. Nha'p OK de trd ve Waveform Editor. Cac niit xl,x2,x3 va f
liie nay duge trinh bay trong man hinh dang sdng.


Liie nay ta se xac dinh cac gia tri logic duge sir dung cho cac tin hieu ngd
vao trong thdi gian md phdng ehiie nang. Cac gia tri logic d ngo ra f se tu dgng
duge tao ra bdi trinh md phdng.


</div>
<span class='text_page_counter'>(38)</span><div class='page_container' data-page=38>

danh da'u kiem soat d^ ma Wavefomi Editorm hien thi cac dudng hudng dan dgc
sang trong mien hien thj dang sdng. Cac dudng hudng dan eung cap giiip dd
bang mat de dinh vj chudt khi ve cac dang sdng. ta ehgn OptionsI Grid Size va gd
20ns trong hop danh nhan Grid Size. Nha'p chudt khi dang trd den bat ky dudng
hudng dan nao va quan sat dudng tham chieu dgc duge ve d diem dd.


<b>Insert Node </b>


Node Name;


Default Value: 0 I/O Type
• Input Pin



• Output Pin
Buried Node


OK
Cancel


For Simulator Channel File (SCF) Only
Node/Group: :"


Nodes Sc Groups from SNF:
;x3(iT


!X2(I)
1X1 (I)
iF(0)


List


Registered
Combinatorial
Type


<i>'M Inputs </i>


V Outputs


All :"


Show All Node Name Synonyms



<i>Hinh 20: Chon cac nut de mo phong. </i>


Hinh 21 trinh bay each thiie eira so Waveform Editor se xem xet diem nay,


<b>I 4 ; k » * * X * p I u » l l . ; c : 1 m « A w r k l t u t o r i a l t o d 1 , . . f i d E i i i P i W ^ </b>


^ MAX+plus II File Edit


<i>A </i>


Ref: |600,0ns


Name:


View Node Assign Utilities Options Window Help


<i>(S ^ 1^? ^^BBB£& ®gl2k mm& ^ B U S ^ </i>


| * j * | Time: 692,0ns Interval: 92,0ns
6 0 0 0 n s


<b>a </b>


Value 1 200.0ns 400Dns eOOOns 800.0ns


<i>? ^ </i>


0



0


0
0


</div>
<span class='text_page_counter'>(39)</span><div class='page_container' data-page=39>

Cac dang sang ngo vao duac thiet lap gia tri logic la 0 va ngo ra duoc trinh
bSy dudi dang mot bie'u do ducmg duac bam, bieu do nay chi ra rang gia tri logic
chua duac xac dinh.


De kiem tra hoan toan mach trong thod gian mo phong, ta can su dung
nhi^u gia tri khac nhau c6 the c6 cho cac ngo vao. V6i thi du dan gian cua ta, chi
CO 8 gia tri khac hau, do do ta de dang bao gom tat ca chiing. De tao ra tat ca 8
gia tri khdrp v6i thdi gian mo phong la 160ns, cac gia tri tin hieu can thay doi 20
ns mot. De tao ra cac dang sang cho cac tin hieu ngo vao, ta thuc hien nhu sau.


Ta kich hoat cong cu Waveform Editing bang each nhap bieu tuong ciia
cong cu nay tren eanh ben trai ciia cira so. Bie'u tuong duac trinh bay a goc trai
tren ciia hinh 19, bieu tuong nay trong giong mui ten dang tro ben trai va phai.
Ta dinh vi con tro chuot tren dang sang ciia ngo vao x3 tir 20ns den 40ns, nhu
<i>duac minh hoa a hinh 19. Waveform Editing Tool tu dong thay doi phan duac </i>
chon cua dang sang tu gia tri hien hanh 0 thanh gia tri 1. Ke den ta chon phan
dang sang ciia x3 giCra 60 ns va 80 ns de thiet lap bang 1. Tiep tuc theo each nay
de thiet lap m6i mot 20 ns nhiJng phan ciia x3 bangl.


<b>• M I M l l t M ^ M i i i ^ M M M M f l m M M M B M B M a M a B M i f c M ^ B j a a B m -—-J ^ J—.J ^ </b>


•^ MAX+plus II File Edit View Node Assign Utilities Options Window Help


<i>Di^OS^ife ^1^? ^^BBBS SglA ^ d ^ ^B^^. 5? </i>


A




3&


Ref: 500.0ns | » | - » | Time: 716.Qns <sub>Inteival: 216.0ns </sub>


Name: Vai


<b>1 </b>


<b>J—L </b>


<b>X </b>


X1


X2


X3
F


<b>^ </b>


200.0ns 400.0ns


500.0ns
I


6000ns 800.0ns


<b>L </b>




<i>Hinh 22: Cac dang song da hoan tat ciia xl,x2,x3. </i>


</div>
<span class='text_page_counter'>(40)</span><div class='page_container' data-page=40>

ten doc theo eanh rai cua cua so. B^ng each sir dung cong cu Selection, thii tuc de
ve m6t dang sang la, trude tien chon mot p h ^ eiia dang sang bang each keo re
chuot tren phSn nay. Ph& duge thiet lap eo the duge thiet lap bang 1 each ebon
EditI Overwritel High. PhSn duge lam noi bat eung eo the duge thay doi bang each
su dung cac nut duge danh nhan 0 va 1 doe theo eanh trai cua cua so.


Ta sir dung cong cu Waveform Editing de thiet lap dang sang cho x2 la mot
<i>trong t^m t\l 40ns de'n 80ns eung nhu tir 120ns den 160ns. Cung vay, ta thiet lap cho </i>
xl bang 1 trong tSm ti^ 80ns den 160ns. Liie nay cac dang sang da duge ve, nhu
<i>duge minh boa a hinh 22, bao gom tat eva cac gia tri. Chon Filel Save de' luu cac </i>
dang sang trong tap tin VDI.sef.


<i>2.3.2.3 Thuc hien md phong </i>


<i>De mo eiia so Simulator, duge trinh bay a hinh 23, ta nhap chuot vao bieu </i>
tugng cua ciia so nay (trong giong nhu mot may tinh eo mot dang sang tren man
hinh) hoac ebon MAX+PLUS II eung cap ca hai mo phong, chuc nang va dinh thai.
Loai mo phong duge sir dung bcfi umg dung Simulator duge xac dinh tu dong bai
cac thiet lap duge su dung trong ling dung Compiler. Simulator se thuc hien mo
phong ehiie nang trong trucmg hgp nay do ta da ra lenh cho Compiler tao ra thong
tin cho viec mo phong chiie nang, nhu da de cap a hinh tren.


<b>A S f t n u i f d o r r T M </b> <i>•^</i> <i>^ </i>


Simulation Input: v d l . s d
Simulation Time: 0.0ns
Start Time: 0.0ns



IJse Device
Setup/Hold
Check Outputs


End Time:
Osciilation


Glitch
1.0us


<b>.50 </b> <b>100 </b>


<b>Start </b> Open SCF


<i>Hinh 23: Man hinh cua Simulation. </i>


</div>
<span class='text_page_counter'>(41)</span><div class='page_container' data-page=41>

<i>viec m6 phong trong tap tin VDI.sef. Di xem tap tin nay, ta nhap chuot tren niit </i>
Open SCF trong cira so mo phong, cira so Waveform Editor tu dong duac ma va
hi^n thi tap tin nay. Nhu duac minh hoa a hinh 24, Simulator tao ra dang song
cho ngo ra f. Cac cira so Waveform Editor va Simulator liic nay c6 the duac dong
lai.


<i><b>•m tmi'iaU.i •.. J </b></i>


<b>^> MAX+plu» II - c:\rnax2workUutorial\vd1 - [vdi.sef - Waveform Uitor] </b>
^ MAX+plus I I File Edit View Node Assign Utilities Options Window Help


A



<b>5e </b>


Ref: 0.0ns <b>• l^l Time: 726.0ns </b> Inten/al 726 0ns


Name: Value:

<b>i </b>

200.0ns 400.0ns 600.0ns 800.0ns 1.C


<i><b>^ </b></i>
<i><b>^ </b></i>


X


Z


<b>JMU. </b>


/-X2


/-X3
- ^ F


<i>Hinh 24: Ket qua mo phong chiifc nang. </i>


<i>2.3.2.4 Sudung Message Proessor de dinh vi vd sua cdc iSi </i>


Trong mo ta a muc ve each thiic Compiler duac sir dung de tong hap mot
mach tir so do, ta da noi rang Compiler c6 the tao ra mot thong bao phat bieu
rang khong c6 eanh bao va l6i nao duge tao ra. Trong muc nay ta se minh hoa
dieu gi se xay ra khi c6 mot l6i trong so do. De chon mot l6i trong sa do da duge
tao ra cho f, ta ma lai so do bang each chon Filel Open de ma hop pop-up (hinh
25). Trong hop c6 nhan Show in Files List, ta nhap chuot tren Graphic Editor


<i>Files. Ke den trong hop c6 nhan Files, ta nhap chuot tren ten VDl.scfdi dat ten </i>
nay vao trong hop c6 nhan File Name.


</div>
<span class='text_page_counter'>(42)</span><div class='page_container' data-page=42>

<b>O R ^ </b> <i><b><sub>mmmiM^^M^ </sub></b></i>


File Name: vdl.gdl


Directory is: c:\max2work\tulorial


Ta su dung chuot d^ ebon day noi ngo ra eiia cong OR v6i ky hieu ngo ra f.
Ta xoa day nay bang each go phim Delete; ke den luu tap tin so do nay.


M6 cira so Compiler va chay eac cong cu tong hgp Ian nua. Compiler se tao
ra mot th6ng bao noi rang mot eanh bao va mot I6i duge tim thay. Nhap OK.
Mot cira so, duge goi la Message Processor, duge tu dong ma ra de hien thj eac
thong bao duge tao ra bai Compiler,


nhu duge minh hoa a hinh 12. Neu cira
so Message Processor bi che khuat bai
eiia so khac nao do, ebon MAX+PLUS
II I Message Processor de mang cua so
Message Processor ra phia tru6e.


Thong bao eanh bao duge tao ra
do ngo ra cong OR khong duge noi v6i
ba't ky nut nao khac trong sa do. Thong
bao l6i noi rang ky hieu ngo ra f khong
duge ket noi gi ca. Mae dii each thiic
xac djnh 16i la ro rang, do ta chu y tao
ra 16i, trong trucmg hgp tong quat mot


so thong bao duge hien thj bai


Files:
: read, me


vdl.acf
vdl.cnf
vdi. fit
Direclories:
<i>P=^ max2work </i>
vdlhif
vdl.mmf
vdl.ndb
vdi. pin


Show in Files List
Graphic Editor files
' Symbol Editor files


Text Editor files
Waveform Editor files
• All files


Drives:
[ ^ c:


^gdf •
["-sym)
^tdf •
".sef •


OK
Cancel


<i>Compiler khi tong hgp mach 16n se khong Hinh 25: Hop thoai ma lai file. </i>
ro rang. Trong nhiing trucmg hgp nhu vay


ta CO the chon mot thong bao bang chuot va ke den nhap tren niit Help on
Message trong cua so Message Processor; tu lieu giai thich thong bao nay duge
tu dong ma ra.


Hay thir nghiem cac tinh chat nay cho ca hai thone bao loi va eanh bao a hinh 26.


Q|^es|iigBR,^;Cpnipile-

<b>T </b>



<i>t^^„Jf^:-i,^^.A^i^/.^,..;^^:.i. -ft-f^; • </i> <b>'w*-J . J </b>


I n l u : S e l e c t i n g a d e v i c e f r o m 'M/0<:/t)U(J' K j i n i l v (or A U I O d e v i c e ' v J
I n t o : C h i p V d T s u c c f ^ s s f i i l l y tit into AU I 0 d e v i t t - ' h H M / I J J > L t - 1 - 1 - f j '


<i>i Message > </i> Locate in Floorplan Editor <sub>Help on Message </sub>


<i>i Lôô:a1e ã </i> <i><b>.m:QUt /Jl </b></i>


<i>Hinh 26: Man hinh Message Processor, </i>


</div>
<span class='text_page_counter'>(43)</span><div class='page_container' data-page=43>

so d6 noi do l6i hien hfiu. Ta chon thong bao eanh bao va nhap chuot vao niit
Locate. Quan sat ta tha'y Graphic Editor tu dgng duge hien thi v6i cong OR duge
lam noi bat. Ke den chon thong bao l6i trong cira so Message Processor va nhap
chuot vao nut Locate Ian niia. Ky hieu ngo ra tra len noi bat trong Graphic
<i>Editor. Ta six dung Graphic Editor de ve lai day bi thieu giua ngo ra cong OR va </i>


ky hieu ngo ra f. Luu so do nay va ke den sir dung Compiler nham chay cac cong
cu tong hgp de tha'y rang I6i da duge sira chira. Den day ta da hoan tat viec gi6i
thieu thiet ke su dung cong cu nhap ho so. Neu c6 cac cua so ung dung nao do
van con ma, ta dong chiing lai de tra ve cira so Maneger.


<b>j4„ </b>

<b>£ </b>



<i><b>m </b></i>


<b>EL </b>


<b>u n r ' D> — i r </b>


<b>^ - 1 ! </b>


<i><b>^ </b></i>


<i>M </i>


<b>"C. </b>


<b>-!• - ; ^ </b>


<i>hx-— </i>


1 T


<b>1 </b>


- H . - 5 ^



<b>, </b>


<i><b></b></i>



</div>
<span class='text_page_counter'>(44)</span><div class='page_container' data-page=44>

<i><b>2.3.2.5 Nhirng ket qua dd dat duac </b></i>


Tir nhimg phan tich mach da thuc hien tren cac linh kien rdi rac nhu mo ta
tren hinh 27 (xem chi tiet trong cac tai lieu U3] va [15]) chiing toi da thiet ke,
che tao modul dien tir thuc hien viec dong bg khung anh ve tinh khi tugng GMS
va MT-Sat tren chip FPGA EPF8282ALC84-4 ciia hang Altera (My). Tru6c het
ta che tao mach dien tir bao gom mot chip FPGA EPF8282ALC84-4 va cac linh
kien phu nhu hinh 28 dudi day.


<i><b>-^mhl ^^ </b></i>


<i>Hinh 28: Modul dien tu thuc hien viec dong bo khung anh ve tinh khi tuong </i>


GMS 5, MT-Sat va giao tiep vdi may tinh.


Trong so do nay tin hieu loi vao chip EPF8282ALC84-4 gom clock va data
noi tiep, loi ra gom 8 dudng data song song, 1 tin hieu clock nhjp byte va mot tin
hieu bao dau dong. Cac loi ra nay duge noi vai khoi giao tiep de dua du lieu vao
may tinh qua cong USB. Co 3 each de eau hinh cho chip FPGA trong sa do nay.


• Caeh 1 dung Jae JPl trong hinh 28 de nap eau hinh ciia chip FPGA tu may
tinh PC qua cap nap ByteBlaster. Caeh nay eo the thay d6i cau hinh trong


<b>> </b>


</div>
<span class='text_page_counter'>(45)</span><div class='page_container' data-page=45>

• Caeh 2 diing mot bg nhdf EEPROM noi tiep de cau hinh cho chip FPGA. IC


EPC1046 ciia hang Altera duge sir dung lu-u du lieu cau hinh cua FPGA va
khi bat dien mach tu dgng nap so lieu cau hinh cho chip FPGA.


• Caeh 3 ding vi d i k khien AT89S52 de cau hinh cho FPGA. Du lieu cung
duge lap trinh san cho )iC nay va mOi khi bat dien thi |iC se nap sd lieu cau
hinh cho chip FPGA.


Hinh 29 la anh modun dien tii thuc hien viec dong bg khung va giao tiep v6i
may tinh da duge ch6' tao.


De thiet ke chiic nang dong bg khung anh tren chip FPGA ta su dung phan
mem Maxplus II ciia hang Altera bang phuang phap do hoa nhu duge mo ta
trong phan 3.2. Ta't cac cac chiic nang nhu la khoi tao ma giai ngSu nhien PN,
khoi nhan dang d^u khung anh khoi giai ma PN, khoi chuyen doi chu6i bit ndi
tiep thanh song song nhu duge bieu hien trong hinh 29.


<i><b>JMXtpm,tt:^4^Mtu\[piS^.jmffMbMtanz\dbMiUf)^ldbkhut^ </b></i>


<i><b>•^ MAX+phjt II Fde Edt View Symbol Anlgn Utttet Options Window Halp </b></i>


<i><b>D(^iBa ^ k? ii^mBBS s^SiA urn </b></i>


<i><b>UB^ </b></i> <i>^</i> <i>• </i>


<i><b>mX </b></i>I s ] ^ <b>i r > M U C M 6 » K « ^ l « M K . </b> <b>''• J " y ^ j> </b>


</div>
<span class='text_page_counter'>(46)</span><div class='page_container' data-page=46>

•Ibt-llufUJ^i'


JbiTtiufi J ifv



DAUDONG <sub>X </sub>


0 7 C
0 6 <
1-0 5 <
I-0 4 < h
0 3 < h
0 2 < h
0 1 < h
OO < h


T A M 1


Tt.H..j,'>-j^_i^O D A U A N H
Dclk


data


<b>•tfcr </b> <b>{» </b>


T E S T


vCT^ <b>{>-> </b> <b>{; </b>


^SfS3ife3riii«^W(*«»»fc<.rii ..rt«c«*«M-*»-...


<i><b>Hinh 30: So do Idi chan vao va ra cua chip EPF8282ALC84-4 </b></i>


Sau khi thiet ke mach dong bo khung thi cac chan vao ra duge gan chan ciia
chip de phu hgp v6i phSn eiimg da thiet ke nhu hinh 29. Chan 3 eiia chip se duac


gan cho tin hieu data vao, chan 7 duge gan cho chan clock vao, chan 35 duge
gan cho tin hieu nhjp byte ra, chan 34 cho tin hieu dSu khung, va cac chan tir 60
den 67 duge gan la cac chan tin hieu tir DO den D7 ra song song va mot so chan
phu khac.


<b>2.4 NGHIEN ClTU THIET KE VA TICH HOP CHIP XILINX CPLD </b>
<b>VAO HE THONG THU NHAN ANH VE TINH KHI TlTONG </b>


De nang cao chat lugng eiia chip tich hgp chiing toi da tien hanh thu
<i>nghiem tren chip CPLD eiia Xilinx. Ciing tit nhung phan tich mach da thuc hien </i>
tren cac linh kien r5i rac nhu mo ta tren hinh 27 (xem ehi tiet trong eac tai lieu
[13] va [15]) chiing toi da thiet ke, che tao modul dien tu thuc hien viec dong bo
khung anh ve tinh khi tugng GMS va MT-Sat tren chip XC95108. Phuang phap
lap trinh eung la phuang phap do hoa va nhung so lieu ban dau hoan toan giong
nhu a ph^n 2.3.2 nhung chip duge chon la XC95108 cua hang Xilinx.


Nhung thong so ky thuat ca ban eiia chip XC95108 eo the torn tat nhu sau:
<i>• Do iri giua eac chan la 7,5 ns </i>


• Tan so lam viec dee 125 ns.


</div>
<span class='text_page_counter'>(47)</span><div class='page_container' data-page=47>

• Co 108 chan vao/ra.


• Dien ap ngu6n nuoi la +5 V


• Dong loi ra eo the chiu duge 24 mA.


Ban mach sau khi che' tao duac minh hoa tren hinh ve.


<i>Hinh 31: Ban mach hoan chinh vdi chip CPLD cua hang Xilinx. </i>



Cac ket qua thir nghiem cho thay bang phuang phap lap trinh CPLD cung
nhan duge mot chip tich hgp eo chat lugng hoan toan tuang duang nhu chip
FPGA eiia ALTERA nhung the' hien uu diem: Ban mach dan gian ban vi chip
khong can boot chuang trinh tu ROM nghia la khi duge cap dien la c6 the hoat
dong duge ngay.


</div>
<span class='text_page_counter'>(48)</span><div class='page_container' data-page=48>

phuang phap khac nhung c6 ciing mot doi tugng ling dung, nen chi mang tinh
chat minh chumg cho kha nang viet phan mem va tich hgp len chip ciia nhom tac
gia.


<b>V H» thong HR8.200-11021S45.MX </b>


<i><b>Hrfhtij httu HoMthWi Xamhrh XCrlJ iiAitQ Thu FMngvung minh Dich(>anh(jfll HuOng </b></i><b>ddn Ra </b> i?MK„0jiyyc]


<b>Vd tinh MtsotO </b>


<b>KC'iih Ix'iiv^ n ^ o j i </b>
<b>1 </b>


<b>V| tri con tio chu6t </b>
<b>VTdft </b>


<b>KW^dd </b>
<b>Nhi^tdO </b>


<b>M i j r c x ^ </b>


<b>Ofnh m^y </b>
<b>y nghta </b>


<b>NN« </b>
<b>-77* </b>
<b>-72* </b>
<b>-51* </b>
<b>-35* </b>
<b>-23* </b>
<b>-12* </b>
<i><b>-:, -02* </b></i>
<b>^ *06* </b>
<i><b>• *\A' </b></i>
<b>• >2t* </b>
<b>• ^28* </b>
<b>1 05*( </b>
<b>10:25:1)8 </b>
<b>29*08'B </b>
<b>119*170 </b>
<b>15.3'C </b>
<b>115/256 </b>
<b>< 2km </b>
<b>mau anh </b>
<b>53 Ofnh mSv </b>
<b>C 16.0km </b>
<b>C 15.2km </b>
<b>C 12.2km </b>
<b>C 10.2km </b>
<b>" 8.5kra </b>
<b>:: 7.1km </b>
<b>3 5,3km </b>
<b>:: 3.9km </b>
<i><b>Z 2.3km </b></i>

<b>:: <2km </b>
<i><b>z </b></i>
<i><b>z ... </b></i>
<b>03-11-2006 </b>
<i><b>•'if-if: </b></i>


<i>Hinh 32: Anh IRl cua ve tinh MT-Sat ngay 2/11/2006. </i>


Ca hai chip FPGA ciia ALTERA va CPLD eiia Xilinx deu da duge lap ghep
vao he thong thu anh ve tinh khi tugng cua Vien Vat Ly va Dien tu. Hinh 32 la
mot anh ma ve tinh thu duge tir he thong thu anh ve tinh eiia Vien Vat ly va Dien
tir, da duge tich hgp khoi dong bo khung sir dung chip FPGA/CPLD nhu tren da
trinh bay. Day la bang chung cho thay san pham cua de tai hoan toan eo the ung
dung vao thuc te de phuc vu cong tac du bao th5i tiet dae biet la quan sat di^n
bien eiia cac can bao.


2.5 KET LUAN


</div>
<span class='text_page_counter'>(49)</span><div class='page_container' data-page=49>

da trd thanh thuofng xuyen va khong the thieu. V6i mot mang luai eac ve tinh khi
tugng dia tinh nhu GMS eiia Nhat Ban, GOES eiia My, METEOSAT cua Chau
Au, INSAT eiia an Do, FY-2 eiia Trung Quoc, GOMS " ELEKTRO" cua Nga va
cac ve tinh cue nhu NOAA eiia USA, METEOR eiia Nga, FY-1 cua Trung Quoc,
ngUcfi ta CO the nhan duge cac thong tin khi tugng mot each thuong xuyen, lien
tuc tham chi hang gid mot.


Do vay viec thie't lap cac tram thu anh eiia ve tinh khi tugng se dong gop
mot phan dang ke vao cong viec du bao thdi tiet, khi hau giup eon ngu5i tim
duge cac bien phap huu hieu phong tranh thien tai...


O Viet Nam eung da s6m bat dSu trien khai cac chuang trinh tu thiet ke va


che tao trong nu6e cac he thong nay de tao kha nang trien khai chiing mot each
<i>rong rai, trong so do tieu di tai cap nha nu6e: " Nghien eiJu, thiet ke va che tao </i>
he thu anh phan giai cao eiia ve tinh khi tugng va moi truang GMS va MT-SAT "
cua Trung tam Khoa Hoc Tu Nhien va Cong Nghe Quoc Gia, do Ph5ng Ky
Thuat Vien Tham, Vien Vat Ly thuc hien trong hai nam 2000, 2001.


Cong viec tien hanh nghien cihi de thiet ke, xay dung cac he thong thu tren
CO so cac may vi tinh IBM/PC, mot chiing loai may rat pho bien la thich hgp vai
dieu kien nu6e ta, tao thuan Igi cho ngudi sir dung va dap ung duge yeu eau ciia
thuc tien, md ra nhieu kha nang hiia hen trong viec ung dung anh ve tinh GMS,
dae biet la da ha duge gia thanh eiia san pham va eung la xu hudng cua the gidi
trong nhiing nam gan day. Trong de tai trude day (2002) eac can bo eiia Phdng
Ky Thuat Vien Tham, Vien Vat Ly va dien tir da che tao mudul dien tu nay bang
cac linh kien rdi rac rat cong kenh do tin cay khong cao. Vi vay trong luan van
[15] tien hanh trong khuon kho de tai nay thiet ke che tao mach dong bo khung
tren mot chip FPGA eiia hang Altera lam cho mach dien gon nhe va de dang dua
vao sir dung va dae biet khi sir dung cong nghe nay ta cd the cau hinh lai hoac
trien khai san suat ra't nhanh, rat dan gian, tiet kiem thdi gian, tinh lap lai rat cao.


</div>
<span class='text_page_counter'>(50)</span><div class='page_container' data-page=50>

• Qua de tai nay, nhom tac gia da hoe va thu duge rat nhieu kinh nghiem ve
cdng nghe FPGA va each thiet ke mot mach dien tu tren chip FPGA/
CPLD.


• Thie't ke" che" tao module dien tu khdi dong bo khung anh ciia he thu anh ve
tinh. Thiet ke" cac khoi ehiic nang trong module dong bg khung anh nhu
khdi tao ma giai ngau nhien PN, khdi nhan dang dau khung anh, khdi giai
ma PN, khdi chuyen doi chudi bit thanh song song.


• Cac bude thuc hien mot project tren FPGA sir dung phan mem Maxplus II va
nhung ket qua dat duge.



</div>
<span class='text_page_counter'>(51)</span><div class='page_container' data-page=51>

<b>KET L U A N </b>


Trong khuon kho ciia de tai "Nghien ciru thiet ke va tich hgp cac chip
chuyen dung vao he thong do lucmg va thu thap dir lieu", ma s6 QG05.08 vai
kinh phi tong cong la 60 trieu dong cho toan bg de tai chiing toi da hoan thanh
duge kha nhieu cong viec, dudi dang cac mo dun:


• Tich hgp kha nang thdng bao ke"t qua bang giong ndi cho he thdng do
ludng


• Tich hgp chip chuyen dung vao cac he thdng do nhieu man hien thj


• Thie"t ke" va tich hgp cac chip FPGA vao he thdng thu nhan anh ve tinh khi
tugng


• Nghien cuu thiet ke va tich hgp chip Xilinx CPLD vao he thdng thu nhan
anh ve tinh khi tugng


Cac noi dung neu tren day da vugt troi so vdi noi dung da dang ky trong
<i>t y f t </i>
khuon kho de tai. Nhimg ket qua nay da duge cong bo trong cac hoi nghi khoa


<i>f y f y y </i>


hoc toan quoc, ngoai ra, phan Idn noi dung trong cac van de tren da la de tai cua
<i>f t y </i>
cac khoa luan tot nghiep dai hoc va luan van cao hoc. Trong khuon kho de tai


/<i> f </i>



nay da cd: hai luan van tot nghiep cao hoc, mot khoa luan tdt nghiep dai hoe
duge thuc hien.


<i>> t </i>


Sau qua trinh thuc hien de tai cd the noi nhom tac gia da lam chu duge


<i>t t / </i>
cong nghe lap trinh cho chip de cd nhung san pham dua vao ung dung thuc te,


<i>f ^ f </i>


trong dd, dae biet phai ndi den viec tich hgp chip chuyen dung vao he thdng thu
nhan anh ve tinh vien tham. Ca hai chip lap trinh da duge thu nghiem dai ngay
tren he thdng thu anh ve tinh eiia Phdng Ky Thuat Vien Tham, Vien Vat Ly va
Dien tu, cho thay kha nang boat dgng tin cay, on djnh va da duge che tao tich
hgp vao he thdng thu anh ve tinh khi tugng lap dat tai Bg Tu Lenh Phdng Khong
- Khong Quan (xem them giay xac nhan d phan cudi eiia bao cao). Khdng nhung
the, chip duge thu nghiem de thu nhan anh cua nhung eon bao gan day, thi du
Anh IRl ciia ve tinh MT-Sat ngay 2/11/2006 (Hinh 32). Kinh nghiem cho thay
neu lua ebon de tai diing hudng va viec tien hanh thuc hien de tai mot each
nghiem tiie thi viec dua san pham de tai vao thuc te eung khong phai la van de
khd.


</div>
<span class='text_page_counter'>(52)</span><div class='page_container' data-page=52>

DANH MUC CAC CONG TRINH DA CONG BO



<i>• Ngo Dien Tap, Nguyen Kiem Hung, FSK demodulation with PSoC </i>


<i>CY8C27443, Bao cao va duge dang trong tai lieu cua Hoi Nghi V6 Tuyln </i>



Dien Tu Toan Qu6c (REV 6), Ha Noi, than 11 nam 2006.


<i>• Ngo Dien Tap, Nguyen Kiem Hung, Tich hop cdc chip chuyen dung trong </i>


<i>r f y </i>


<i>he thong do luang vat ly. Hoi nghi Vat ly toan quoc Ian thu VI, Ha Noi </i>


22-25/11/2005.


</div>
<span class='text_page_counter'>(53)</span><div class='page_container' data-page=53>

<b>FSK demodulation with PSoC CY8C27443 </b>



<b>Ngo Dien Tap and Nguyen Kiem Hung </b>
<i>College of Technology, VNU Hanoi </i>
<i><b>Abstract'. Frequency Shift Key (FSK) is the </b></i>


<b>most common form of digital modulation in the </b>
<b>high-frequency radio spectrum, and has a lot of </b>
<b>important applications in low-speed data </b>
<b>communications. Recently, FSK modulator and </b>
<b>demodulator can be implemented easily thank </b>
<b>to appearance of high performance 8-bit, </b>
<b>embedded micro-controllers. This paper </b>
<b>introduces the use of available on-chip </b>
<b>resources on a PsoC micro-controller to </b>
<b>perform FSK demodulation. A FSK generator </b>
<b>also was built on CY8C27443 micro-controller </b>
<b>in order to support testing function of the </b>
<b>demodulator. </b>



<i><b>Tom tat'. Dieu che va giai dieu che FSK da du'gc </b></i>
<b>ung dung tir lau trong ky thuat truyen dir lieu. </b>
<b>Can day xuat hi^n cac vi dieu khien co toe do </b>
<b>cao nen cac mach dieu che va giai dieu che FSK </b>
<b>CO the thu'c hien don gian hon. Trong bai bao </b>
<b>nay gioi thieu mot so ket qua dung cac tai </b>
<b>nguyen phan cirng san c6 cua vi dieu khien </b>
<b>CV8C27443 ket hop voi phan mem de thu-c </b>
<b>hien chiic nang ciia mot b9 giai dieu che FSK. </b>
<b>Mot bo phat FSK cung du-oc xay dyng tren co* </b>
<b>SO" vi dieu khien CY8C27443 de phuc vu cho </b>
<b>viec Idem tra hoat dong ciia bo giai dieu che. </b>


<b>I. INTRODUCTION </b>


Many measurement applications (for
example, electric and gas meters) require a
way to communicate electronically with a
central office so that measured data can be
reported back to the central office and new
tariffs can be set in the remote site. Frequency
shift keying (FSK) are one popular methods
of representing binary data over copper
cables.


With FSK, the transmitter converts a bit
stream ( T s or O's) into a varying frequency
that can be easily transmitted over
communication lines. At the receiving end,


the receiver gets this modulated signal and
transform it back into a bit stream. Obviously,
FSK is a simple, inexpensive, easy way to
achieve low-speed communications. This
application describes implementation of a
FSK demodulator by a PSoC
micro-controller. The demodulator is tested by a


FSK modulated signal that uses 1500 Hz to
represent a ' T and 2000 Hz to represent a '0'.
The maximum data rate for this type of
modulation is 1200 baud. Integrating the
measurement and communication functions
onto the same chip yields cost as well as
power-saving benefits.


<i><b>Modulation Theory </b></i>


FSK modulation involves alternating the
value of frequency deviation from a carrier
frequency according to the value of the bit to
be represented. For this application, a bit
value of 0 = 500 Hz and a bit value of 1 =
-500 Hz.


FSK signal = A*cos[t*2*7r*(Fc ± F^)]
<i>where, F^ = 2000 Hz is carrier frequency. V^ </i>


<i>= +/- 500 Hz frequency deviation from a </i>



carrier frequency according to the value of the
bit to be represented.


CLK 8-bit PWM with


factor selected bv
sotVware


60 KHz I
100 KHz.


<i>0-f w </i>


250


<b>^ </b>
<i>w </i>


8-bit PWM
with factor ^ 40


OHz -O" 1500 Hz •


BPF2V center
<i>frequency = 2500 </i>


Hz for
1500 Hi


•0' and


for • 1 •


FSK


<i><b>Figure I: Block diagram of FSK generator. </b></i>
<i><b>Demodulation Theory </b></i>


<i>A quadrature demodulator is able to used </i>


to provide the FSK demodulation. In this type
of demodulation, the signal and its delayed
version are multiplied together and then
low-pass filtered. If the delay, T. is set such that


<i>o}^* T = 7t/2, then the low-pass filter result is </i>


proportional to the frequency deviation from
the carrier and therefore represents the bit
value sent.


</div>
<span class='text_page_counter'>(54)</span><div class='page_container' data-page=54>

If 03 = 03^ + 0)^ and T * 03^ = 7c/2,


(Where, co= 27rf, 03^ earner frequency, ±co^
frequency deviation from a carrier frequency
according to the value of the bit to be
represented.)


then:


2*cos[cot]*cos[o3(t-T)] = coscoT + cos(2(j3t-coT)



After low-pass filtered, result in:
cos(03T) = sin[±03jj] = ±sin[a3d]


Another way to demodulate FSK is
method of frequency measurement. Recall
that, FSK modulation involves the use of two
frequencies. One frequency is used to
represent a digital 1, while another frequency
is used to represent a digital 0. FSK can be
mathematically remodeled by equation:


f A*cos(t*2*7i:*Fi), ifd(t)=l
<i>FSK signal = i </i>


lA*cos(t*2*7r*F2), ifd(t)-0
where, d(t) is the incoming data bit stream.


<i>iVi:' ! :^-:.t </i>


<i><b>Figure I: Digital data and corresponding FSK </b></i>


<b>signal. </b>


Thereby, if we have a micro-controller that
fast enough to capture frequencies used in
FSK modulation then we are able to FSK
demodulation.


The latter is simple and suitable to


application of CY8C27443 micro-controller.
II. EXPERIMENT


<i>Implementation of FSK Demodulation </i>


It is possible to implement an FSK
demodulator using only the PSoC device. The
following PSoC Designer User Modules are
required in order to build the application:


• Two Programmable Gain Amplifier
(PGA) blocks.


• One comparator.
• One 8-bit timer.
• One 16-bit timer.


The following figure 2 shows block diagram
of implementation of a FSK demodulator.


FSK


\


Clipped
FSK Signal


Bit stream
(I's and O's)
Signal



amplifier and
zero-cross


detection

<b>JL </b>


Pulse
Counter
Recover the
incoming
data stream
Data
1200-baud
generator


<i><b>Figure 2: Block diagram of FSK receiver. </b></i>


Firstly, the FSK signal is passed through a
amplifier which consists of two PDA blocks
of PSoC. This amplifier is used to clip input
signal, to output a signal approximate square
wave. The combined gain is selected so that
the signal is correctly clipped. The resulting
signal is then sent to a comparator. The
comparator is set to trigger at 0 V. Thereby,
the comparator acts as a zero crossing
detector on the incoming signal.


The square signal from the comparator is
routed to the counter. An 8-bit timer, clocked
by the 32 KHz clock, helps in this task. The


value of the timer is captured every time the
comparator triggers. By comparing 2
consecutive values of the timer, the value of
the incoming frequency can be determined.
The FSK center frequency, 2 KHz,
corresponds to 16 cycles (32/2) of the 32 KHz
clock.


The software implementation of FSK is
very simple (see figure 3). The software times
the transitions on the input signal. The value
of the timer is read every time the transition
occurs. If the transitions happen within a
specified time, then a high frequency is being
detected, otherwise a low' frequency is being
detected. The program sets the output pin of
the counter high or low depending on the
frequency detected.


<i>Bit Synchronization </i>


The bit values coming out from
demodulation need to be determined and
synchronized to produce the incoming data bit
stream. This process is also known as bit
slicing and clock recover}. This is done
through a bit count-down counter. The


</div>
<span class='text_page_counter'>(55)</span><div class='page_container' data-page=55>

bit timer generates an interrupt every 1/1200
s. This interrupt is used to recover the bit rate


from the incoming FSK signal (see figure 4).


Initialize all
user modules

<b>I </b>


Enable
interrupts

<b>? </b>


Loop
forever


<i>Figure 3: Main - Loop </i>


Receive 1200-baud


opnpratnr intf-mint


<i><b>J </b></i>



Read state of output
of Dulse counter


<i><b>i </b></i>



Output data to output
pin of FSK
demodulator


<b>I </b>




End interrupt


<i><b>Figure 4: The 1200-baud generator </b></i>


<b>interruption routine. </b>


Receive zero-cross
detection internint


Read value of
pulse counter


Less than
threshold level


Low frequency


- • b i t O


More than
Threshold level


High frequency
- » b i t O


<i><b>Figure 5: Zero-cross detection </b></i>


<b>interruption routine. </b>


At this point, the PSoC-implemented FSK


demodulator has been built. The layout of all
elements on the board is shown on the Figure
6. To be convenient for testing, we have
included a LED display panel into the FSK
receiver. Digital data, for example
temperature is measured and then modulated
and transfered to the receiver via a
communication line is demodulated and
displayed on the LED panel. Now it is time to
test the project and confirm proper
fiinctionality. With the use of oscilloscope
(Agilent 54642A, in our experiment), the
following figure 7 illustrates FSK signal and
corresponding digital data of the demodulator.


<i><b>Figure 6: The layout of all elements on the </b></i>


<b>board. </b>
<i><b>.</b></i> <i><b>^</b></i> <i><b>-</b></i> <i><b>^</b></i> <i><b>^</b></i> <i><b>^ </b></i>
<i><b>\ </b></i>
1

<b>J </b>


<b>J </b>


1

<b>r </b>


<b>1 </b>

<b>J </b>


<b>/V\/V^^ </b>


1
<b>i </b>

<b>j </b>
<i><b>"^ </b></i>
<b>i </b>


<i><b>Figure 7. FSK signal and corresponding </b></i>


<b>digital data. </b>


III. CONCLUSION.


Thank to the available on-chip resources of
PSoC, it is easy to build FSK modulation and
demodulation. Recently, many measurement
systems based on PSoC was implemented,
hence, this modulator and demodulator can be


</div>
<span class='text_page_counter'>(56)</span><div class='page_container' data-page=56>

<i>combined with measurement systems to make MSP430 micro-controller. Texas Instruments </i>
<i>complete remote measurements. Application Report. December 1998. </i>


^ ^ ^ ^ ^ , , _ ^ ^ [2] Cypress Inc.: CY8C27443 Datasheet. 2003.


IV. REFERENCES


<i>[3] Peyton Z.Peebles: Digital Communication </i>
[1] FSK mudulation and demudulation with the 5v5rem5. Prentice Hall Intemational, Inc.. 2001,


</div>
<span class='text_page_counter'>(57)</span><div class='page_container' data-page=57>

<i>Bao cao tai Hoi nghi Vat ly Toan quoc Ian thu VI, Ha Ndi 23-25/11 /2005 </i>


<b>TICH HOP CAC CHIP CHUYEN DUNG </b>
<b>TRONG HE THONG DO LU^ONG V A T LY </b>



<b>• </b>


Ngo Dien Tap va Nguyin Kiem Hung
Dai hoc Qu6c Gia Ha Noi


Nha E3/144 Xuan Thuy, Quan CAu Gidy, Ha Noi


TOM T A T


Cac he thong do lucmg vat iy gan day deu bao g6m hai phdn chinh la:
cam bien va khoi xu ly tin hieu do luong. Nhumg thanh tuu cua Vat ly
dem lai cac loai cam bien moi nhung d6ng thai kh6i xu ly tin hieu do
luong cung khong ngimg duoc cai ti^n.


Cong nghe PSoC (Programmable System on Chip) va CPLD (Complex
Programmable Logic Device) vai dae diem chung la dung ph^n mem nap
vao chip de dat cau hinh va quy dinh tinh nang cho chip da tao ra nhieu
vi mach chuyen dung vai nhiing tinh nang rat hap dan.


Bai bao nay giai thieu mot so ket qua trong viec ap dung cong nghe
PSoC va CPLD de tao ra cac chip tich hgp trong cac he thong do luong
vat ly. Ngon ngir duge su dung la Assembly va VHDL. Ket qua duge ap
dung cho mot he thong do luong nhiet do va do am vai 5 cam bien nhiet
do va mot cam bien do am, cho phep minh hoa kha nang giam thieu so
lugng cac linh kien tren he thong nhung van giu* nguyen cac thong so ciia
he do.


<i>Cdc tit khoa: Do luong vat ly, PSoC, CPLD, chip chuyen dung. </i>



<b>DAT VAN DE </b>


Trong nhirng nam gSn day, ben eanh cac vi mach chuyen dung duge che tao
bang cong nghe truyen th6ng nhu quang khac, boc bay, an mon v. v... ma ta quen
ggi la ASIC (Applification Specific Integrated Circuits) da xuat hien mot lugng
khong nho cac vi mach ra dai theo each lap trinh de quy dinh cau hinh cho chip.
Khong chi tang v8 s6 lugng ma muc do tich hgp tren cac chip ciing c6 xu huang
tang len khong ngimg, tu vai chuc c6ng logic len vai tram cong va cho den nay da
tang len hang trieu cSngt^l. Cac chip lap trinh duge c6 the chia ra thanh 3 loai:


- SPLD (Simple Programmable Logic Devices): la loai chip nho vai cac cong
n6i tilp (day) hoac mot nhom cac cong duge noi vai nhau de dam nhan mot
chuc nang don gian.


- CPLD (Complex Programmable Logic Devices): co cau true phiic tap han
SPLD, no CO thi chua mot vai khdi, moi kh6i duge hieu nhu mot SPLD.


- FPGA (Field Programmable Gate Array): la mot chip bao gom hang ngan.
tham chi hang trieu c6ng logic vai each ket noi co kha nang lap trinh duge va
<i>thuong duoe su dung cho cac qua trinh phat trien phan cung phiic tap. </i>


</div>
<span class='text_page_counter'>(58)</span><div class='page_container' data-page=58>

<i><b>Bao cao tai Hoi nghi Vat ly Toan quoc Ian thu VI, Ha Noi 23-25 /ll/2005 </b></i>


<i>Cac he thong do lucmg vat ly gan day dku bao g6m hai phdn chinh la[2]: cam biln </i>
va khoi xu ly tin hieu tir cam bien voi muc do phuc tap tuong xung voi cac chip
<i>CPLD. Vi vfy, chung toi lira chon chip CPLD dk xay dung mot he thdng do luona </i>
<i>vat ly bao gom: do nhiet do o nam vi tri, do do km tir mot vi tri va hi^n thi trin 3 </i>
<i>man hien thi LED bay thanh khac nhau: mot cho nhiet do a mot vi tri, mot cho do </i>
am va mot cho nhiet do trung binh tir 4 vi tri con lai.



<b>PHAN THirc NGHIEM </b>


• •


Chip CPLD duoc lira chon de tiln hanh thuc nghiem la loai XC95361'] ciia cong
ty Xilinx voi 44 chan, tren chip tich hgp 36 macrocell voi 800 cdng sir dung duoc. ^
Theo thiet ke truygn thdng thi he thdng do tren day se bao gdm 5 cam bi^n nhiet.
mot cam bien do dm, cac mach chuin tin hieu tir cam biln, cac bd bi^n ddi tuong
tu-sd de chuyen tin hieu vao mot vi xir ly, cudi ciing la cac khdi giai ma va dieu
khien de dua ra 3 bd hi6n thj LED 7 thanh. So dd khdi cua he thdng cd tha hinh
dung la rdt phuc tap. De don gian cho viec tich hop tren chip, he thdng duoc chia ra
thanh nhirng mddun va riit gon thanh so ddi khdi nhu hinh 1.


<b>CBND 1 </b>
<b>CBND 2 </b>
<b>CBND 3 </b>
<b>CBND 4 </b>
<b>_ ^ </b>

<b>"r </b>


<b>CBND 5 </b>
<b>1 -^ </b>

i


PSoC


<b>1 </b>


<b>CBDA </b>

<b>^ ~ ~ </b>
XC9536
<i>•</i> <i>^ - , \ . \ . </i>

7
<b>^ </b>
LEDl
NhdoTB
<i>^ s </i>
LED 2
Nhdo 5


t

<i> ' </i>



. LED 3


Dp am


<i>Hinh 1: So* do khoi cua he thong sir dung cac chip lap trinh dirac. </i>


<i>Cam bian nhiet do duge su dung la loai NTC833 (do -400C din lOO^C), con </i>
cam bien do am la loai HM1520 (cua Honeywell), nghia la tin hieu o loi ra ciia cac


<i>f •> -i r , </i>


cam bien deu la tin hieu dien ap nen phan xii ly tin hieu sau cam bien co ca khoi


'<i> r t f </i>


khuech dai va bien doi tuong tu so. Do vay, chip PSoC CY8C27443 da duge lua
ehgn. Cong cu PSoC Designer ciia Cypress cho phep tu cac tai nguyen co san thiet
lap cac bg khuech dai co he so khuech dai dat duge va bg bien doi AD tuong tu-so
voi do phan giai dat duge. Do viec hien thi nhiet do va do am chi can den 3 con so
(digit) nen do phan giai trong truong hgp nay duge dat la 10 bit. Chip PSoC duge


lap trinh bang Assembly de thuc hien vai tro cua mot vi dieu khien, cu the la Ian
lugt dgc dii lieu tir cac cam bien roi xii ly va chuyen sang chip XC9536 de dua ra hien thi


De dua ra so do don gian nay, ro rang la
mot so modun da duge tich hgp vao trong
chip CPLD. Cu tha la:


- Bg dem Johnson cho khoi quet dgng
- Bg dem nhi phan


- Bo siai ma BCD sane ma 7 thanh.


<i><b>p.. </b></i>



' ' , i t : '< '••:•••" ; •


De rut ggn each trinh ba\\ sau day xin
gioi thieu chi tiet cho mot truong hgp dien
hinh la bg giai ma BCD sang ma bay thanh


</div>
<span class='text_page_counter'>(59)</span><div class='page_container' data-page=59>

<i>Bao cao tai Hoi nghi Vat ly Toan qudc l&n thir VI, Hd Ndi 23-25 /ll /2005 </i>


Khai bao cong vao/ra:
Clk : in stdjogic;


Din: in stdJogic_vector(3 downto 0)
Dout :inout std_logic_vector(7


<b>5 </b>


Sai

CLK CO
thay doi?
Dung
Khai tao
Process


r6i dua ra hi6n thi tren LED. DS kidm tra kha nang hoat dong cua chip giai ma. mot
<i>m^ch dien nhu tren hinh 2 da duac thii nghiem. Luu d6 ciia chuone trinh eiai ma </i>
Viet bang VHDL duoc minh hoa tren


hinh 3.


<i>Ta dl dang nhan thiy a phan giua la </i>
chip Xilinx XC9536 da duge dat cdu
hinh thanh mot bg dim nhi phan 4 bit
va giai ma BCD sang 7 thanh.


Xung tir bg tao xung nhip NE555


<i>duac dua true ti6p vao chan clock </i>


GCKl (chan 5) ciia chip XC9536. Tdn
s6 xung nhip duge lira ehgn thong qua
R, C ciia mach tao xung NE555 sao
<i>cho CO gia tri bang 22 Hz, dii di kk </i>
<i>qua hien thi tren LED khong bi nhkp </i>
<i>nhay. Do bg dem nhi phan duge thik </i>
ke thanh 4 bit nen ket qua hiSn thi tren
LED 7 thanh chay tir 0 din 15 r6i lai
tro ve 0, trong do tir 0 din 9 la duai


dang chir so con tir 10 din 16 la duoi
dang chir cai: A, b, c, d, E, F.


Phuong phap tich hgp bg giai ma
BCD duge mo rgng cho cac khoi con
lai, cuoi ciing toan bg he thong do
luong vat ly duge thu ggn nhu tren
hinh 4 (trang sau).


CAC KET QUA VA BAN LUAN
Nho tich hgp hai chip chuyen dung
PSoC CY8C27443 cua Cypress va
XC9536 ciia Xilinx ma he thong do
nhiet do va do am tro nen cue ky don
gian ve mat phan cimg, trong khi thiet
ke tren cac vi mach rieng re phai can
den mot dien tich ban mach Ion gap
3-4 Ian, voi cac duong dan phuc tap.


Sir hoat dong cua cac mach dien thir
nghiem cho thay cac chip duge dinh
cau hinh bang chuong trinh hoat dgng
dung chuc nang cua mot chip chuyen
dung thuc sir.


Viec dat lai cau hinh bang phan


mem cho phep cac chip co the thay doi chirc nang de chuyen sang diing trong moi
ims dung khac, cho thay tinh linh hoat ciia ky thuat chip lap trinh.



CASE din is
WHEN "0000"
WHEN "0001"
WHEN "0010"
WHEN "0011"
WHEN "0100"
WHEN "0101"
WHEN "0110"
WHEN "Oil!"
WHEN "!000"
WHEN "iOOl"
WHEN "1010"
WHEN "1011"
WHEN "1100"
WHEN "1101"
WHEN "1110"
WHEN "1111"
WHEN others
END CASE:

<b>1 </b>



~> ra <=
=> ra <=


<i>=> ra <= </i>


=> ra <^
<i>=> ra <= </i>


<i>=> ra <= </i>



=> ra <=
=> ra <=
=> ra <=
=> ra <=
=> ra <=
=> ra <=


<i>=> ra <= </i>


=> ra <^
=> ra <
-=> ra <=


Dung
"11000000"
"11111001"
"lOlOOIOO"
"10110000"
"10011001"
"lOOlOOlO"
"10000010"
"iimooo"
" 10000000"
"10010000"
"10100000"
'10000011"
'10100111"
MOlOOOOl"
'10000100"


'lOOOlllO"
=>nuii,--ra<- "1 1000


--0
--1
—2
—3
--4
—s
--6
--7
--8
--9
" A
--B
" C
--D
--E
--F
000";
Ket thuc
Prore<;';


<i>Hinh 3: Liru do cua chirong trinh giai </i>


</div>
<span class='text_page_counter'>(60)</span><div class='page_container' data-page=60>

<i>Bao cao tai Hoi nghi Vat ly Toan qudc l&n thu VI, Hd Noi 23-25 /ll /2005 </i>


Thuc te cho thay viec thiet ke mot he thong do luong vat ly noi rieng va he thong
dien tu noi chung tieu ton thdi gian chii yeu cho viec viet va chay thir chuong trinh
nap vao chip, con phan mach dien tro nen rat don gian. Vi vay khi da xay dung


xong mot he thong mau (prototype) thi viec nhan ban cho cac img dung khac tro
nen don gian.


<b>• A w * * - ' </b> <b>^ </b> <b>:i:,.' </b>


<b>!^ </b> <i>i \ \ ^ » </i>


- ; ; ; v ' ;


<i><b>Mm^^^"^^"^"^-^' </b></i> <i>4i^':^'^ti'' .••">^:-jy </i>


<i>Hinh 4: Toan bo he thong do lirtrag sau khi tich hop cac khoi </i>


chifc nang vao trong hai chip.


<i>Cdc kit qua thuc nghiem trinh bay trong bai bao nay co dm/c tit nguon tai tra cua de </i>
<i>tai NCKH QG. 0508. Nhom tac gid xin chdn thanh cdm an cdc cdp qudn ly. </i>


TAX LIEU THAM KHAO



[1] XC9536 In-System Programmable CPLD, December 4, 1998 (Version 3.0), Product
Specification.


[2] Ngo Dien Tap va Nguyen Kiem Hung: Altimeter based on MEMS sensor. Bao cao tai
<i>Hoi Nghi Quoc Tk vh Ca Dien Tu ICMT-2005, Malaysia 8-9/ December/ 2005. </i>
[3] Xilinx Virtex™-II Evaluation Kit. Product brief of AVNET, 2003.


INTEGRATE SPECIFIC CHIPS INTO THE PHYSICAL
MEASURING SYSTEMS



<i>Abstract: All modem physical measuring systems include two major parts: sensors </i>


and signal processing block. Recent great achievements in Physics have produced
advanced sensors, and at the same time the advancements of technology so lead to
the incessantly development of measuring processors.


The technology of PSoc (Programmable System on Chip) and CPLD (Complex
Programmable Logic Device) with the common feature of embedding software mto
hardware of device, allow us to develop dedicated chips with expected features.


</div>
<span class='text_page_counter'>(61)</span><div class='page_container' data-page=61>

DANH MUC CAC SAN

P H A M

DAO TAG



<i><b>• Nguyen Kiem Hung, Thiet ke va thii nghiem mot so he thong do luong </b></i>


<i>chuyen dung tren co so cam bien ap suat MEMS. Luan van tot nghiep cao </i>


<i>hoc, Dai hoc Quoc gia Ha Noi, 2005. </i>


<i><b>• Nguyen Van Hieu, Nghien curu thiet ke va tich hgp cac chip FPGA/CPLD </b></i>
<i>vao he thong thu nhan anh ve tinh khi tugng, Luan van tot nghiep cao hoc, </i>
Dai hoc Quoc gia Ha Noi, 2006.


</div>
<span class='text_page_counter'>(62)</span><div class='page_container' data-page=62>

DAI HOC QUOC GIA HA NOI
KHOA CONG NGHE


<b>Phan Thu Ngan </b>



<b>UNG DUNG NGON NGlT HDL TRONG THIET KE </b>


<b>HE THONG SO </b>




KHOA LUAN TOT NGHIEP DAI HOC CHINH QUY


Nganh: Dien Tfr-Viln Thong


Can bo hu-tfng dan: TS Ngo Dien Tap


</div>
<span class='text_page_counter'>(63)</span><div class='page_container' data-page=63>

<b>DAI HOC QUOC GL\ HA NOI </b>


<b>TRl/dNG DAI HOC CONG NGHE </b>



<b>Dao Ngoc Dung </b>



<b>ITNG DUNG Vi KHIEN PIC </b>


<b>DE QUAN LY CUOC GOI </b>



<b>KHOA LUAN TOT NGHIEP DAI HOC HE CHINH QUY </b>


<b>Nganh : Dien Tfr - Viin Thong </b>



<b>CanbohiTOTigdan: PGS TS Ngo Dien Tap </b>



</div>
<span class='text_page_counter'>(64)</span><div class='page_container' data-page=64>

<b>DAI HOC QUOC GL\ HA NOI </b>



<b>TRUdNG DAI HOC CONG NGHE </b>



<b>Nguyen Kiem Hung </b>



<b>THIET KE VA THIT NGHIEM MOT SO HE </b>


<b>THONG DO LUCfNG CHUYEN DUNG TREN CO </b>



<b>SOI CAM BIEN AP SUAT MEMS </b>




<b>Nganh: Ky Thuat Dien Tu - Vi^n Thong </b>



<b>Chuyen nganh: V6 tuyen Dien tu va Thong tin lien lac </b>


<b>Ma so: 2.07.00 </b>



<b>LUAN VAN THAC SY </b>



NGU'C)I

HU'CNG DAN

KHOA HOC:



<b>PGS. TS. NGO DIEN TAP </b>



</div>
<span class='text_page_counter'>(65)</span><div class='page_container' data-page=65>

y v ^


<b>DAI HOC QUOC GIA HA NOI </b>


<b>TRl/dNG DAI HOC CONG NGHE </b>



<b>Nguyen Van Hieu </b>



<b>NGHIEN CUtJ, THIET KE VA TICH HOP CAC </b>


<b>CHIP FPGA/PLD VAO HE THONG THU NHAN </b>



<b>ANH VE TINH KHI Tl/ONG </b>



<b>Nganh: Ky Thuat Dien Tu - Vi^n Thong </b>



<b>Chuyen nganh: V6 tuyen Dien tiif va Thong tin lien lac </b>


<b>Ma so: 2.07.00 </b>



<b>LUAN VAN THAC SY </b>




NGUOI

Hir^NG DAN KHOA HOC:



<b>PGS. TS. NGO DIEN TAP </b>



</div>
<span class='text_page_counter'>(66)</span><div class='page_container' data-page=66>

<b>TAI LIEU THAM KHAO </b>


[1] www.insight - electronics . com/solutions/kits/xilinx/. Insight Electronics
Offers Two Virtex-II Development Boards


[2] Virtex-II XC2V40/XC2V1000 Reference Board User's Guide. Insight
Company, 2003.


[3] Xilinx VirtexTW-H Evaluation Kit. Product brief of AVNET, 2003.


[4] XC9536 In-System Programmable CPLD, December 4, 1998 (Version 3.0),
Product Specification


<i>[5] Virtex-II Protyping Board, Hardware & SoftwareDevelopment & Solution </i>


<i>[6] www. fp2aConft2urator. com. Application Note 2: Interfacing to VirtexTM-E </i>


[7]


[8] XC9536 In-System Programmable CPLD, December 4, 1998 (Version 3.0),
Product Specification.


[9] XC9536 In-System Programmable CPLD, December 4, 1998 (Version 3.0),
Product Specification.


[10] Atmel Corp.: AT89C2051 Data sheet, 2003.



[II] Dallas Corp.: DSI620 Digital Thermometer and Thermostat, Data sheet,
2004.


[12] Atmel Corp.: AT89C2051 Data sheet, 2003.


<i>[13] Bui Doan Trgng, Bui Trong Tuyen, Nguyen Van Hieu va cong sir...: Hoi </i>
nghi v6 tuyin Dien tu Toan qudc Ian thu 8, Ha noi 2-3/11/2002


<i>[14] Nguyin Kiem Hung, Thik kk va thu nghiem mot so he thong do luong </i>
<i>chuyen dung tren ca so cam bien ap suat MEMS. Luan van tot nghiep cao </i>


<i>hgc, Dai hoc Qudc gia Ha Noi, 2005. </i>


</div>
<span class='text_page_counter'>(67)</span><div class='page_container' data-page=67>

<i>Vi6n Vat Ly va Dien Til </i>


lAM CONG HOA XA HOI CHU NGHIA VIET NAM
Doc Lap - Tu Do - H a n h Phuc


GIAY XAC NHAN



Trung tam ihig dung Cong Nghe Vu Tru,
xac nhan mach d6ng bo khung anh ve tinh khf
tugng, duge thiet ke tren chip Xilinx XC95108
(anh ben) da duge thu nghiem tai Phong Ky Thuat
Vi6n Tham ciia Vien Vat Ly va Dien Tu, Vien
Khoa hgc va Cong Nghe Viet Nam trong thai
gian tir 1 thang 2 nam 2006 den 30 thang 6 nam
2006. Day la san pham thiet ke cua nhom tac gia:



- Nguyin Van Hieu, Hgc Vien Cao hgc K10
- Phan Thu Ngan, CiJr nhan, va


- Ngo Dien Tap, PGS. TS.


thuc hien trong khuon kho de tai: QG.05.08. Sau thai gian thu nghiem chiing toi co
mot s6' nhan xet, danh gia nhu sau:


- Toan bg mach dien duge thiet ke tren mot chip cua Xilinx, mach hoat dgng ngay
khi duge cap dien nguon nuoi +5 V (khong can khai dgng chuang trinh tir
<i>ROM) nen ra't ggn nhe va di dang dua vao su dung. </i>


- Mach dien duge xay dung theo kieu lap trinh tren chip nen kha nang tai tao (hay
nhan ban) rat dan gian, tiet kiem thai gian, tinh lap lai rat cao.


- Mach da duge thir nghiem dai ngay tren he thong thu anh ve tinh cua Trung tam,
cho tha'y kha nang hoat dgng tin cay, on dinh va hoan toan co the sir dung duge
trong he thong thu anh ve tinh viln tham.


<i>Ket qua ciia 66 tai cho tha'y: viec ung dung ky thuat lap trinh cho chip hoan toan co the </i>
ap diing d^ thay the tiing phan tien den thay the phan Ian cac he thong so phirc tap.


^.==^acjihan cua ctf,quan


<i>Hd Ngi, ngay dp/06 12006 </i>


Pho Truang Phong Ky Thuat Vi6n Tham


KS. Biii Trgng Tuyen



</div>
<span class='text_page_counter'>(68)</span><div class='page_container' data-page=68>

<b>T P ^ T f ^ r ^ ^ y S n ^ i ^ o " ^ / ^ ' CONG HOA XA HOI CHU NGHIA VIET NAM </b>
<b>TRU-dNG D ^ HQC CONG NGHE Doc lap - Ty do - Hanh phuc' </b>


<b>S6:^^ /HD-NCKH </b>


<b>HgfP DONG NGHIEN CUtJ KHOA HOC </b>


<b>T H V C HIEN DE TAI DAC BIET CAP DHQG HA NOI </b>


<i>- Can cu Quyit dinh sS 83 /KHCN ngay 25 thdng 3 nam 2005 cua Gidm dSc Dai hoc Qudc gia </i>


<i>Hd Noi ve viec phi duyet danh muc dk tdi dae biet cdp DHQGHN nam 2005 cua Trucmg Dai </i>
<i>hoc Cong nghe; </i>


<i>- Can etc de cuomg nghien ciru cua de tai ma s6 QG. 05.08 va s6 kinh phi da duac duyei, </i>
Chiing toi gom:


<b>Ben giao (Ben A): GS VS Nguyin Van Hieu </b>
Dai dien: Trucmg Dai hoc Cong nghe
Chuc vu: Hieu truong


<b>Ben nhan (Ben B): Ong Ngo Dien Tap </b>


Don vi cong tac: Khoa Dien tu - Vien thong, Trucmg Dai hoc Cong nghe
DT: 7685202


la Chu nhiem de tai NCKH dae biet ma s6: QG.05.08
<b>Hai ben thoa thuan nhu* sau: </b>


<i><b>Heu 1: Ben B chiu trach nhiem to chuc trien khai thuc hien cac noi dung nghien cuu trong </b></i>



Ahai gian 18 thang (tu thang 4 nam 2005 den thang 10 nam 2006) theo d6 cuang D^ tai
NCKH da duoc duyet va dat duoc nhung ket qua, san pham cu the dudi day:


1. Thu nghiem mot hoac hai phuong phap xu ly am so de khang dinh kha nang tich hop
chuc nang doc ket qua do bang giong noi tieng Viet. Tao ra va trinh dien mot khoi tien
xu ly anh viln tham gon nhe, de thay doi va cap nhat tinh nang moi. co toe do xu ly cao
do tan dung duoc kha nang cua cac chip co toe do xu ly nhanh.


2. Hai bai bao dang tren tap chi khoa hoc cong nghe hoac bao cao Hoi nghi khoa hoc


,<i> f \ I r -. </i>


3. Mot he thong do luong doc ket qua bang giong noi (tieng Viet). Mot khoi tien xu ly anh
ve tinh viln tham co the dau noi voi may tinh PC de thu nhan anh ve tinh.


4. San phAm d^ tai dat muc dua duoc vao thuc te ung dung va duoc thi truong chap nhan
ca va noi dung Ian hinh thuc. Co it nhat mot dja chi ung dung.


5. S6 cu nhan duoc dao tao la 2, thac sT la 2-3.


<i><b>Biku 2: Ben B nop cho ben A cac san pham khoa hoc theo noi dung va tien do thuc hien cua </b></i>


de tai truoc ngay 6/11/2006.


<i><b>Diiu 3: Nam 2005, ben A cip cho ben B s6 t i k la: 50 trieu dong (bang chu: nam muoi </b></i>


</div>
<span class='text_page_counter'>(69)</span><div class='page_container' data-page=69>

<b>Chi phi cu thi nhu sau: </b>
<b>Muc chi </b>



<b>114 </b>


<b>119 </b>


<b>Noi dung chi </b>
<b>Chi phi thue muon </b>


<i>04'Thue may moc thiet bi... </i>
<i>07-Thue lao dong trong nuac </i>


Chi nghiep vu chuyen mon


<i>01-Chi mua vat tu, linh kien phuc vu de tai... </i>
<i>06-Sdch, tdi lieu (bien dich, photo, in an)... </i>
<i>99'Chi khdc cho NVCM...(Chi cho chu tri de </i>
<i>tdi, seminar khoa hoc, nghiem thu) </i>


<i><b>Tong cong Sau muai trieu dong chdn </b></i>


<b>So tien (tr.d.) </b>
28,5
<b>0 </b>


28,5


31,5
<b>15 </b>


<b>5,5 </b>
<b>11 </b>



<b>60,0 </b>


<b>Ghi chu </b>


<b>trieu dg </b>


<b>/ </b>


<b>\ l </b>


<b>^ </b>


<i><b>£)iiu 4: Ben B co trach nhiem chi tieu kinh phi duge cap theo dung muc dich, dung che do </b></i>


tai chinh hien hanh va quylt toan vai phong Tai vu - Ke toan truac ngay 25/12/2006.


<i><b>Biiu 5: Hai ben cam kk thuc hien dung cac diSu khoan da duge ghi trong hgp dong. Trong </b></i>


qua trinh thuc hien hgp d6ng, hai ben phai thong bao cho nhau nhung van de nay sinh va
cung nhau ban bac giai quyet.


<i><b>Diiu 6: Hgp d6ng lam thanh 4 ban, m6i ben giu mot ban, mot ban gui phong Tai vu - Ke </b></i>


toan- Truang Dai hgc Cong nghe, mot ban gui d k Ban KH-CN - DHQGHN.


DAI DIEN BEN B


Ha Ngi, ngay 6 thang 4 nam 2005
^^,^^4J[^DIEN BEN A ^ - ^ ^



<b>/ </b> <sub>liiEU TRUONG-^ </sub>


<b>TUG t)^:^'S </b>

<b>'•A^O </b>


</div>
<span class='text_page_counter'>(70)</span><div class='page_container' data-page=70>

DAI HOC QUOC GIA HA NOI


<b>TRUdNG DAI HOC C6NG NGHfi </b>


<b>- — # </b>


<b>CONG HOA XA HOI CHU NGHIA VIET NAM </b>


<b>Doc lap - Tu do - Hanh phuc </b>


<i><b>*** </b></i>


<b>DE NGHi THANH TOAN </b>



<b>Kinh phi thirc hien de tai NCKH nam 2005 </b>


<b>Kinh giii: BAN GIAM HIEU T R U 6 N G D H CONG NGHE </b>


<b>Ho va T6n ngucri de nghi: Ngo Dien Tap </b>


Thu ky cho d^ tai :mas6: ...QG05 08


Chii tri de tai ca'p DHQG: "Nghien cihi thiet ke va tich hop cac chip chuyen
dung vao hd th6ng do lucmg va thu thap du lieu"



Thdi gian thuc hien de tai: thang 4 nam 2005 d6'n het thang 12 nam 2005


<b>DA CHI KINH PHI NHU SAU: </b>


Muc chi
111
112
113
114


119


Noi dung chi
Thdng tin lien lac


Hoi Nghi
Cdng tac phi


Chi phi thue mudn


<i>04- Thue may moc, thiet bi ... </i>


<i>05- Thue chuyen gia gidng vien nuac ngoai </i>
<i>06- Thue chuyen gia, gidng vien trong nude... </i>
<i>07 - Thue lao dong trong nude... </i>


Chi nghiep vu chuyen mdn


<i>01- Chi mua vat tU, linh kien phuc vu de tai.... </i>
<i>06- Sdch tdi lieu ( bien dich, poto, in an) </i>



<i>99- Chi khdc cho NVCM...(Chi cho chu tri de </i>
<i>tdi Xemina khoa hgc, nghiem thu, qudn ly v.v...) </i>


Tong cong


Sd tidn
0


<b>300.000 </b>
<b>2.476.190 </b>
<b>17.500.000 </b>


17.500.000


<b>29.723.810 </b>


<i>15.331.450 </i>
<i>6.365.000 </i>
<i>8.027.360 </i>


50.000.000


Ghi chu


<i><b>(Bdng chit: Nam chuc trieu dong chdn.) </b></i>


De nghi Chii tai khoan duyet cho thanh toan kinh phi da thuc hien de tai


<i>Ha noi. ngdy ... thdng 12 nam 2005 </i>



<b>CHU TAI KHOAN DUYfiT K^ TOAN TRlTONG CHI TRI Dfi TAI MHOI LAP BIEl </b>


</div>
<span class='text_page_counter'>(71)</span><div class='page_container' data-page=71>

%-DAI HQC QUOC GIA HA NOI


<b>TRUdNG DAI HOC CONG NGHfi </b>


<b>. — # </b>


C O N G H O A XA H O I CHLJ N G H I A VIET NAM
<b>Doc lap - Tu do - Hanh phiic </b>


<b>* * * </b>


<b>OE NGHJ THANH TOAN </b>


<b>Kinh phi thuc hien de tai NCKH nam 2006 </b>


<b>Kinh gui: BAN G I A M HIEU TRUING DH CONG NGHE </b>
<b>Ho va Ten ngucri de nghi: Ngo Dien Tap </b>


Thu ky cho de tai : Ma so: QG05.08.


Chu tri de tai: Nghien cuu thiet ke va tich hgp cac chip chuyen dung vao he thong
do lucmg va thu thap dii lieu.


<i>Thdi gian thuc hien 66 tai: thang 5.nam 2005 den het thang 12 nam 2006. </i>


<b>DA CHI KINH Phi NHU SAU: </b>



Muc chi
111
113
114


119


Noi dung chi
Thdng tin lien lac


Cdng tac phi
Chi phi thue mudn


<i>04- Thue may moc, thiet hi ... </i>


<i>05- Time chuyen gia gidng vien nudc ngoai </i>
<i>06- Thue chuyen gia, gidng vien trong nuac... </i>
<i>07 - Thue lao dgng trong nude... </i>


Chi nghiep vu chuyen mdn


<i>01- Chi mua vat tu, linh kien phuc vu de tai.... </i>
<i>06- Sdch tai lieu ( bien dich, photo, in an) </i>
<i>99- Chi khdc cho NVCM...(Chi cho chu tri de </i>
<i>tdi Xemina khoa hgc, nghiem thu, qudn ly v.v...) </i>


T6ng cong


So tidn



<i><b>4.000.000 d </b></i>
<i><b>4.495.000 d </b></i>


<i>2.887.000 d </i>
<i>1.608.000 d </i>


<i><b>1.505.000 d </b></i>


Ghi chu


j
J


<b>1 </b>


1


<b>10.000.000 d| </b>


<i>(Bdng chit: Mu&i trieu dong chdn ) </i>


De nghi Chii tai khoan duyet cho thanh toan kinh phi da thuc hien dd tai


<i>Ha ngi, ngay 24 (han^ 12 ndnt 2006 </i>


<i>CHU TAI KHOAN DUYET KE TOAN TRUONG CHU TRI Dt TAI NfiKH LAP BIEl </i>


</div>
<span class='text_page_counter'>(72)</span><div class='page_container' data-page=72>

<b>TOM TAT NHtJNG KET QUA CHINH CUA DE TAI NCKH </b>


<b>Ten de tai: Nghien ciru thiet ke va tich hop cac chi chuyen dung vao he thong </b>


<b>do lucmg va thu thap dir lieu. </b>


(Investigation to design and to integrate application specific chips into
measuring and acquisition systems).


<b>Ma so: QG.05.08 </b>


<b>1. Chu tri de tai: Ngo Dien Tap, PGS. TS. </b>


<b>2. Nhirng ket qua chinh: </b>


a. Ket qua ve khoa hoc (nhimg dong gop cua de tai, cac cong trinh khoa hgc cong
bo); Da dua ra va thu nghiem mot so giai phap cong nghe de:


• Tich hop kha nang thong bao ket qua bang giong noi cho he thong do ludmg
• Tich hop chip chuyen dung vao cac he thong do nhieu man hien thi


• Thiet ke va tich hop cac chip FPGA vao he thong thu nhan anh ve tinh khf
tirgfng


• Nghien ciJu thiet ke va tich hop chip Xilinx CPLD vao he thong thu nhan anh
ve tinh khi tuong


<i>^ r </i>
Trong qua trinh thuc hien de tai, hai bao cao khoa hoc da duoc cdng bd tai Hoi


nghi khoa hoc dang tren tap chi:


<i>• Ngo Dien Tap, Nguyen Kiem Hung, Tich hgp cac chip chuyen dung trong </i>
he thong do iudng vat ly. Bao cao va dang trong Ky yeu Hoi Nghi Khoa


hoc Ky Thuat Do Luang Toan Quoc Ian thu IV, Ha Ngi thang 11 nam
2005.


<i>• Ngo Dien Tap, Nguyen Kiem Hung, FSK demodulation with PSoC </i>


<i>CY8C27443, Bao cao va duge dang trong tai lieu cua Hoi Nghi V6 </i>


Tuyen Dien Tu Toan Qu6c (REV 6), Ha Ngi, than 11 nam 2006.


</div>
<span class='text_page_counter'>(73)</span><div class='page_container' data-page=73>

<b>c. Ket qua dao tao (so lugng sinh vien, hgc vien cao hgc, nghien cuu sinh lam viec </b>


<i><b>. trong de tai): trong khuon kho thuc hien de tai, da co mot khoa luan tot nghiep </b></i>


<b>cua sinh vien va hai luan van cao hgc duge hoan thanh voi ket qua loai gioi: </b>


<i><b>• Nguyin Kiem Hiing, Thiet ke va thu nghiem mot s6 he thdng do luong </b></i>


<i><b>chuyen dung tren co so cam bien ap suat MEMS. Luan van tot nghiep cao </b></i>


<i>hoc, Dai hgc Quoc gia Ha Ngi, 2005. </i>


<i><b>• Nguyen Van Hieu, Nghien cuu thilt kS va tich hgp cac chip FPGA/CPLD </b></i>
<i>vao he thong thu nhan anh ve tinh khi tugng, Luan van tot nghiep cao hoc, </i>
Dai hoc Qudc gia Ha Noi, 2006,


<i><b>• Dao Ngoc Dung, iTng dung vi didu khidn PIC d^ quan ly cuoc goi, Khoa </b></i>
luan tot nghiep dai hgc he chinh quy, Dai hgc Quoc gia Ha Ngi, 2006.
d. Ket qua nang cao tiem lire khoa hoc (nang cao trinh do can bd va tang cudng


trang thiet bi cho don vi);



Day la mot de tai xuat phat tir yeu cau ciia thuc te trong ITnh vuc vien tham d
Viet nam, nen san pham cung de dang tim duoc kha nang ung dung trong thuc
te. Qua trinh nghien cuu nham hudng tdi mot san pham che thir nen nhdm tac
gia cung hoc tap duoc rat nhieu, dae biet la van de bao dam chat lugng ciia san


•> > •> 1 r


pham ca ve mat my thuat cung nhu tinh dn dinh cua san pham. Ngoai ra, mdt sd
san pham su dung he thdng do cd dgc ket qua bang gigng ndi tieng Viet cung da
bude d4u tim thdy cac ung dung trong he thdng quan ly hang cho d Ngan hang,
phdng ve ciing nhu benh vien...


e. Tinh hinh su dung kinh phi: Da su dung het kinh phi, diing thai han va muc dich
chi tieu, da hoan chinh cac thu tuc thanh toan tai Bg phan Tai vu cua Khoa; co
<i>ban ke khai chi tik trong phan bao cao </i>


CHU NHIEM DE TAI XAC NHAN CUA DON VI


<i>(Ky va ghi ro ho ten) (Ky va ghi ro ho ten) </i>


</div>
<span class='text_page_counter'>(74)</span><div class='page_container' data-page=74>

Tom tit nhimg noi dung tren bang tieng Anh:


Investigation to design and to integrate application specific chips into measuring
and acquisition systems. Code: QG.05.08


Provided and experimented some technological solution to design and to
integrate application specific chips into measuring and acquisition systems.
Particularly:


• Integrating result reading capacity in measurement systems.



• Integrating specific chips in measurement systems with many displays.
• Design and integrate ALTERA FPGA chips in acceptance image system in


meteorologic.


</div>
<span class='text_page_counter'>(75)</span><div class='page_container' data-page=75>

PHIEU DANG KY



KET QUA NGHIEN CUtJ KH - CN



<b>Ten de tai: Nghien cuu thiet ke va tich hgrp cac chi chuyen dung vao he thong </b>


<b>do Ivong va thu thap dCr lieu. </b>


(D6' tai nghien curu khoa hgc trong dilm ca'p DH Qudc gia Ha Ndi)


Ma sd: QG.05.08


Co quan quan ly de tai:
Dia chi:


Dien thoai:


Dai hoc Qudc gia Ha Ndi


144, Dudng Xuan Thuy, Cau Giay - Ha Ndi
8340564


Co quan chu tri de tai: Khoa Cdng Nghe, DH Qudc Gia Ha Ndi



Dia chi: Nha E3, 144, Dudng Xuan Thuy, Cau Giay - Ha Ndi


Tdng chi phi thuc chi: 60.000.000 ddng
Trong dd: - Tu ngan sach Nha nudc; 100 %


Thdi gian nghien cuu: 2 nam
Thai gian bat dau: 7/2005
Thai gian k6t thiic: 12/2006


Ten cac can bg phoi hgp nghien cuu:


- Chu tri d6 tai: Ngo Dien Tap, TS


- Nhung nguai tham gia: ThS Nguyin Kiem Hung
CN Phan Thu Ngan


CN Nguyen Van Hieu


So dang ky de tai


Ngay


So chung nhan dang ky KQNC Bao mat


A. Pho bien rgng rai
<b>B. Pho bien han che </b>
I C. Bao mat


Ngay



<i>Tom tat Vkx qua nghien cuu: </i>


Da dua ra va thii nghiem mot s6 giai phap cong nghe de:


</div>
<span class='text_page_counter'>(76)</span><div class='page_container' data-page=76>

• Nghien cull thiet k^ va tich hgp chip Xilinx CPLD vao he thong thu nhan anh ve tinh
khi tugng


<i>Kien nghi v& quy md va ddi tugng ap dung ket qua nghien cuu: </i>


- De tai duge thuc hien chii yeu tai Trung tam Viln tham cua Vien Vat ly va Dien tu. Ca
hai chip lap trinh da duge thu nghiem dai ngay tren he thdng thu anh ve tinh ciia Phdng
Ky Thuat Vien Tham, Vien Vat Ly va Dien tir, cho tha'y kha nang hoat ddng tin cay, on
dinh va da duge che' tao tich hgp vao he thdng thu anh ve tinh khf tugng lap dat tai Bo
Tu Lenh Phdng Khdng - Khdng Quan (xem them giay xac nhan d phan cudi cua bao
<i>cao). Khdng nhiing the, chip duge thu nghiem de thu nhan anh cua nhirng con bao gkn </i>
day, thi du anh IRl cua ve tinh MT-Sat ngay 2/11/2006.


- San pham da dat den trinh do cd the dua vao ap dung thuc te, van de chi edn la quang
cao va tiep thi de tim duge nhung dia chi ung dung cu the.


Chiic vu


HQ va ten


Hoc vj
Kyten


Dong dau


Chu nhiem de tai


Ngd Dien Tap


PGS, Tien sy


Thu trudng co quan
chii tri de tai


Chu tich Hoi ddng
danh gia chinh thiic


</div>

<!--links-->
<a href='ight'> www.insight -</a>
nghiên cứu thiết kế và đề xuất quy trình thiết kế tự động hóa các hệ thống bơm, máy nén khí, nén lạnh
  • 130
  • 766
  • 1
  • ×