Tải bản đầy đủ (.pdf) (87 trang)

Nghiên cứu ứng dụng FPGA thiết kế mạch điều khiển đèn giao thông

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (876.81 KB, 87 trang )

Luận văn thạc sỹ

-1-

Nghiên cứu - úng dụng FPGA

Lời cam đoan

Tôi xin cam đoan bản luận văn này là kết quả nghiên cứu của bản thân d-ới sự
h-ớng dẫn của TS. Nguyễn Nam Quân. Nếu có gì sai phạm, tôi xin chịu hoàn toàn
trách nhiệm.

Ng-ời làm cam đoan

Hoàng Mạnh Kha

Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004


Luận văn thạc sỹ

-2-

Nghiên cứu - úng dụng FPGA

Mục lục
Trang

Lời cam đoan................................................................................................. 1
Mục lục ............................................................................................................ 2
Lời nói đầu ..................................................................................................... 5


Ch-ơng 1: các thiết bị logic có thể lập trình đ-ợc
(Programmable Logic devices) ........................................................ ..7
1.1. Tæng quan…. ........................................................................................................ 7
1.1.1. Tæng quan về các công nghệ ........................................................................ 7
1.1.2. Tại sao lại cần USIC .................................................................................... 8
1.1.3. Các thiết bị logic có thể lập trình đ-ợc PLD (Programmable Logic
Devices).................................................................................................................. 9
1.2. Công nghệ PLDs.................................................................................................. 9
1.2.1. Phân loại PLD. ............................................................................................. 9
1.2.2. PLD tổ hợp ................................................................................................. 10
1.2.3. PLD tuần tự ................................................................................................ 13
1.2.4. Các mảng cổng logic có thể lập trình đ-ợc (Programable Gate Arrays). .. 16

Ch-ơng 2: Công nghệ asic và công cụ thiết kế ............................ 21
2.1. Sự ra đời của công nghệ ASIC .......................................................................... 21
2.2. Các hÃng chính phát triển ứng dụng công nghệ ASIC ...................................... 22
2.3. C¸c h-íng tiÕp cËn thiÕt kÕ ASIC...................................................................... 22
2.3.1. C¸c công nghệ lập trình thiết kế ASIC. ...................................................... 22
2.3.2. Đầu vµo thiÕt kÕ ASIC ................................................................................ 23
2.3.3. ThiÕt kÕ vËt lý............................................................................................. 25
2.3.4. Các công cụ CAD...................................................................................... 26
2.4. Đầu vào thiết kế ASIC ....................................................................................... 26
2.4.1. Th- viÖn thiÕt kÕ ASIC ............................................................................... 26
2.4.2. Các vi mạch ASIC lập trình đ-ợc ............................................................... 27
2.4.3. Các phần tử logic ASIC lập trình đ-ợc ....................................................... 28
2.4.4. Các phần tử vào/ra ASIC lập trình đ-ợc ..................................................... 28

Hoàng Mạnh Kha - Líp CH §TVT 2002-2004



Luận văn thạc sỹ

-3-

Nghiên cứu - úng dụng FPGA

2.4.5. Các phần tử ASIC liên kết nối lập trình đ-ợc............................................. 29
2.4.6. Phần mềm thiết kế ASIC lập trình đ-ợc ..................................................... 29
2.5. Tỉng hỵp logic................................................................................................... 30
2.5.1. VÝ dơ vỊ tỉng hỵp logic .............................................................................. 31
2.5.2. VHDL và tổng hợp logic ............................................................................ 33
2.5.3. Tổng hợp bộ nhớ ........................................................................................ 34
2.6. Mô phỏng .......................................................................................................... 35
2.7. Các công cụ thiết kế và phát triển ASIC ............................................................ 36
2.7.1. Tổng quan vể quá trình thiết kế và công cụ thiết kế .................................. 36
2.7.2. Giới thiệu các công cụ và sản phẩm của một số hÃng chuyên về ASIC .... 38
2.8. Giới thiệu về một số ngôn ngữ mô tả phÇn cøng HDL (Hardware Description
Languages) ............................................................................................................... 40
2.8.1. VHDL ........................................................................................................ 40
2.8.2. Ngôn ngữ mô tả phần cứng ABEL ............................................................. 47
2.8.3. Ngôn ngữ Schematic Editor ....................................................................... 56
2.8.4. Xây dựng một số ch-ơng trình ứng dụng .................................................. 59

Ch-ơng 3: Thiết kế mạch điều khiển đèn giao thông
sử dụng FPGA XC2S100-Spartan II của Xilinx ............................... 66
3.1. Tổng quan về mạch điều khiển đèn giao thông ................................................. 66
3.1.1. Nhiệm vụ và yêu cầu .................................................................................. 66
3.1.2. Sơ đồ khối và đặc điểm các khối ................................................................ 67
3.2. Phần mềm và phần cứng đ-ợc sử dụng khi thiết kế mạch điều khiển đèn giao
thông ......................................................................................................................... 68

3.2.1. Các phần mỊm sư dơng trong thiÕt kÕ ........................................................ 68
3.2.2. Chip xc2s100 dßng Spartan II sư dơng trong thiÕt kÕ. ........................... 68
3.2.3. Ngôn ngữ mô tả phần cứng đ-ợc sử dụng là VHDL (Very high speed
integrated circuit Hardware Description Languages) .......................................... 73
3.2.4. Phần cứng của mạch điện ........................................................................... 73
3.3. Trình tự thiết kế với FPGA ................................................................................ 75
3.4. Ch-ơng trình VHDL thực hiện các mạch chức năng ........................................ 76
3.4.1. Mạch chia xung clock (chia_tan.vhd) ........................................................ 76
3.4.2. Bé ®Õm thêi gian thùc (bodem.vdh) ........................................................... 77
Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004


Luận văn thạc sỹ

-4-

Nghiên cứu - úng dụng FPGA

3.4.3. Mạch điều khiển đèn (Traffic) ................................................................... 80
3.4.4. Sơ đồ mạch logic đ-ợc cấu hình trong FPGA ............................................ 83
3.4.5. Bo mạch điều khiển đèn giao thông. .......................................................... 84

Kết luận......................................................................................................... 86
Tài liệu tham khảo .................................................................................. 87

Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004


Luận văn thạc sỹ


-5-

Nghiên cứu - úng dụng FPGA

Lời nói đầu

Trong những năm gần đây công nghệ điện tử đà và đang phát triển nhảy vọt. Các
loại IC LSI, VLSI với khả năng tích hợp tới hàng triệu Transistor đà ra đời với nhiều
ứng dụng khác nhau trong Công nghệ thông tin, Điện tử viễn thông, Tự động
hoá...không ngừng đáp ứng các nhu cầu của xà hội. Một trong những công nghệ mới
đ-ợc ra đời, có thể thay thế cho các hệ thống số tr-ớc đây đòi hỏi rất nhiều thời gian và
chi phí cho nghiên cứu và chế tạo, đó là công nghệ ASIC (Application Specific
Integrated Circuit). Dẫn đầu trong lĩnh vực này là sản phẩm FPGA (Field
Programmable Gate Array) và CPLD (Complex Programmable Logic Devices) của
công ty Xilinx. Sử dụng FPGA hoặc CPLD thì có thể tối thiểu hóa đ-ợc nhiều công
đoạn thiết kế, lắp ráp vì hầu hết đ-ợc thực hiện trên máy tính. Các ngôn ngữ mô phỏng
phần cứng (HDL: Hardware Description Languages) nh- ABEL, VHDL, Verilog,
Schematic...cho phép thiết kế và mô phỏng hoạt động của mạch bằng ch-ơng trình.
Các ch-ơng trình mô phỏng cho phép xác định lỗi thiết kế một cách dễ dàng và kết quả
thực hiện của ch-ơng trình là một file bit cấu hình (bitstream) để nạp (download) vào
FPGA và CPLD để nó hoạt động giống nh- một mạch logic. Các FPGA và CPLD với
khả năng tích hợp cao tới hàng triệu gate và cấu trúc mạch tối -u hoá mật độ tÝch hỵp,
hiƯu st cao cho phÐp xư lý nhanh sè liệu, độ tin cậy và chất l-ợng cao, dễ sử dụng do
đó đ-ợc ứng dụng rất đa dạng trong nhiều loại thiết bị điện tử hiện nay.
Trong khuôn khổ luận văn tốt nghiệp của tôi đ-ợc sự h-ớng dẫn, giúp đỡ của Tiến
sỹ Nguyễn Nam Quân và Thạc sỹ Phạm Xuân Khánh, tôi mạnh dạn tìm hiểu và nghiên
cứu về công nghệ mới này của công ty Xilinx. Qua nghiên cứu và tìm hiểu về các thiết
bị có thể lập trình đ-ợc của Xilinx, tôi sử dụng chip XC2S100 dòng Spartan II thiết kế
một mạch điều khiển đèn giao thông.
Nội dung của luận văn bao gồm 3 ch-ơng, trong đó:

Ch-ơng 1: Giới thiệu về các thiết bị logic có thể lập trình đ-ợc PLD.
Ch-ơng 2: Giới thiệu về công nghệ ASIC và các công cụ thiết kế.
Ch-ơng 3: Trình bày các b-ớc thiết kế mạch điều khiển đèn giao thông.
Do thời gian và khả năng có hạn nên luận văn này sẽ còn nhiều thiếu sót. Rất mong
đ-ợc sự góp ý và thông cảm của các thầy giáo, cô giáo.

Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004


Luận văn thạc sỹ

-6-

Nghiên cứu - úng dụng FPGA

Hà nội, ngày 20 tháng 11 năm 2004
Học viên

Hoàng Mạnh Kha

Hoàng Mạnh Kha - Líp CH §TVT 2002-2004


-7-

Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA

Ch-ơng1

Các thiết bị logic có thể lập trình đ-ợc
(Programmable Logic devices)
1.1.

tổng quan

1.1.1. Tổng quan về các công nghệ
Các lựa chọn đà có để thực hiện thiết kế mạch đà và đang có sự thay đổi to lớn
trong một vài năm trở lại đây. Một điều quan trọng trong việc thiết kế là cần phải
nghiên cứu các ph-ơng thức thực hiện một cách cẩn thận tr-ớc. Các b-ớc tiếp theo cần
làm trong suốt quá trình thiết kế sẽ phụ thuộc hoàn toàn vào ph-ơng thức thực hiện mà
mình lựa chọn.
Sự phức tạp trong hệ thống tăng lên cho kết quả mạch tích hợp ở các mức cao hơn
trong các microchip.
Hiện nay có các møc tÝch hỵp sau:
-

SSI (Small Scale Integration), nã chøa nhiỊu cổng độc lập trên một gói đơn,
thông th-ờng số cổng không v-ợt quá 10 cổng.

-

MSI (Medium Scale Integration) có độ phức tạp cao hơn trong khoảng từ 10 đến
100 cổng trên một gói đơn.

-

LSI (Large Scale Integration) có khoảng từ 100 đến vài nghìn cổng trên một gói
đơn.


-

VLSI (Very Large Scale Integration) chứa từ vài nghìn cổng đến hàng triệu
cổng trên một gói đơn.

Ngoài ra, các IC còn có thể đ-ợc phân chia theo các chức năng mà nó thực hiện,
các chức năng phù hợp với thị tr-ờng và ảnh h-ởng tới giá thành của thiết bị:
-

Thông th-ờng (General): là các thiết bị phục vụ cho các mục đích thông
th-ờng.

-

ASIC (Application Specific IC): sử dụng trong các chip hiện đại, các bộ điều
khiển nhúng.

-

USIC (User Specific IC): đ-ợc thiết kế đáp ứng nhu cầu của ng-ời sử dụng.

Hoàng Mạnh Kha - Líp CH §TVT 2002-2004


-8-

Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA


1.1.2. Tại sao lại cần USIC
Hệ thống các kích cỡ nhỏ hơn: Các thành phần có thể cấu hình thay đổi đ-ợc cho
phép giảm khối l-ợng và không gian của chip, từ đó các hệ thống sẽ đạt đ-ợc các kích
th-ớc vật lý nhỏ hơn.
Các hệ thống có giá thành thấp: Khi các thành phần LSI hay VLSI đ-ợc sử dụng
thay cho các thành phần logic chuẩn nh- SSI hay MSI thì ta đà tiết kiệm đ-ợc cho hệ
thống một khoản chi phí: chi phí sản xuất, tích hợp, in mạch, thiết kế mạch
Tăng khả năng hoạt động (Higher performance): việc giảm số l-ợng IC sẽ làm tăng
tốc độ hoạt động của hệ thống cũng nh- giảm năng l-ợng tiêu thụ.
Tăng độ tin cậy: khi mà khả năng sự cố hệ thống liên quan trực tiếp đến số l-ợng
IC trong mỗi hệ thống thì các hệ thống sử dụng các chip LSI hay VLSI tuỳ biến đ-ợc,
đà đ-ợc thống kê là có độ tin cậy cao hơn các hệ thống t-ơng tự sử dụng các thiết bị
SSI hay MSI.
Bảo mật thiết kế: Các hệ thống đ-ợc thiết kế với các thành phần chuẩn có thể đ-ợc
sao chép dễ dàng, trong khi đó các hệ thống có chứa các IC mà ng-ời sử dụng có thể
thay đổi đ-ợc lại không thể bị sao chép do khả năng phân tích ng-ợc trong các hệ
thống này là rất khó. Vì vậy sử dụng các IC mà ng-ời dùng định nghĩa sẽ cho phép bảo
vệ đ-ợc bản quyền thiết kế.
Tăng độ linh hoạt của hệ thống: Các thành phần tuỳ biến cho phép thiết kế hệ
thống đáp ứng các yêu cầu của khách hàng một cách dễ dàng, nó cũng đồng nghĩa với
việc nâng cấp hệ thống và chống lại sự lạc hậu lỗi thời của hệ thống.
Các phân tích trên đ-ợc tổng hợp theo biểu đồ Hình 1.1.

Cost
VLSI

SSI

Quality
Hình 1.1: Mối liên quan giữa công nghệ và giá cả.


Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004


Luận văn thạc sỹ

-9-

Nghiên cứu - úng dụng FPGA

1.1.3. Các thiết bị logic có thể lập trình đ-ợc PLD (Programmable
Logic Devices)
Hiện nay có các IC mà ng-ời thiết kế phần cứng có thể lập trình để nó thực hiện
các chức năng logic nào đó. Việc xuất hiện các công nghệ PLD ®· h-íng ng-êi thiÕt
kÕ ®Õn lùa chän thø 3 linh hoạt hơn các USIC ở một mức giá chấp nhận đ-ợc. Với sự
tiên tiến trong công nghệ PLD, một số các nhà sản xuất đà phát triển các gói CAD
(Computer Aided Design) và các bộ biên dịch cho phép các nhà thiết kế phần cứng có
thể thiết kế các mạch số sử dụng phần mềm, kiểm tra nó và cuối cùng thiết kế vào
PLD. Điều này thật đơn giản trong việc thiết kế phần cứng mà không cần có các bo
mạch thật.
Hầu hết các hệ thống hiện nayđ-ợc thực hiện sử dụng kết hợp các xu h-ớng:
-

Các thiết bị logic có chức năng cố định:

+ Các thiết bị loại này phù hợp với các hệ thống đơn giản và yêu cầu tốc độ thực
hiện lớn để thực hiện các thuật toán đặc biệt (bằng cách sử dụng song song các hàm
chức năng nhỏ).
+ Số l-ợng chip lớn nên chi phí cho hệ thống tăng và giảm độ tin cậy của hệ thống.
-


PLDs:

+ Thích hợp với các hệ thống có độ phức tạp vừa phải hoặc các hệ thống thực hiện
các thuật toán đặc biệt.
+ Giảm số l-ợng chip trong hệ thống, do đó giảm đ-ợc chi phí, tăng độ tin cậy và
khả năng bảo d-ỡng cho hệ thống.
-

Thực hiện trên các bộ vi xử lý:

+ Thích hợp với các hệ thống phức tạp hoặc các hệ thống cần có khả năng lập trình
với mục đích chung.
+ Giảm số l-ợng chip trong hệ thống, do đó giảm đ-ợc chi phí, tăng độ tin cậy và
khả năng bảo d-ỡng cho hệ thống.

1.2. công nghệ PLDs
1.2.1. Phân loại PLD
PLDs có thể đ-ợc phân chia thành các loại sau:
-

PLD tổ hợp (Combination PLD):
ã PROM (Programable Read Only Memory).
• PLA (Programable Logic Array).
• PAL (Programable Array Logic).

Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004


-10-


Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA

PLD tuần tù (Sequential PLD):

-

• SPLD (Sequential Programable Logic Devices).
• CPLD (Complex Programable Logic Devices).
Các mảng cổng logic có thể lập trình đ-ợc (Programable Gate Arrays):

-

ã FPGA (Field Programable Gate Arrays).
1.2.2. PLD tổ hợp
1.2.2.1. PROM

2k x n ROM

k inputs (address)

n outputs (data)

Hình 1.2: Sơ đồ khối của ROM

Fixed
inputs


Programmable

AND array

Connections

Programmable
OR array

outputs

(decoder)
Hình 1.3: Sơ đồ khối của PROM

Các PROM đ-ợc sử dụng với mục đích chính là các thiết bị bộ nhớ l-u trữ cố định
các thông tin nhị phân. Các quá trình lập trình liên quan đến việc đốt cháy các cầu chì
(fuse). Nh-ng PROM l¹i rÊt lý t-ëng cho viƯc thùc hiƯn logic mà có ít hơn 10 tín hiệu
đầu vào, và cấu trúc bên trong của nó là một tập cấc cổng AND và OR. Các PROM
đ-ợc thiết kế nh- là các thiết bị logic th-ờng đ-ợc biết đến d-ới tên PLE (Các thành
phần logic lập trinhg đ-ợc - Programable Logic Elements). Do vậy, ta có thể xem các
PROM là các mảng AND cố định và các mảng OR lập trình đ-ợc. Chúng có thể đ-ợc
sử dụng để làm các mạch tích hợp chung trong mô hình tổng của các minterm.
1.2.2.2. PAL

inputs

Programmable
Connections

Programmable


Fixed

AND array

OR array

Hình 1.4: Sơ đồ khối của PAL

Hoàng Mạnh Kha - Líp CH §TVT 2002-2004

outputs


Luận văn thạc sỹ

-11-

Nghiên cứu - úng dụng FPGA

PAL có mảng các phần tử logic AND lập trình đ-ợc và mảng cố định các phần tử
logic OR. Các mảng AND đ-ợc lập trình cung cấp các minterms cho các chức năng
logic, mà sau đó nó đ-ợc tổng lại trong mảng OR.
Khi thiết kế với PAL, các hàm chức năng Boolean phải đ-ợc tối giản hoá để đ-a
vào từng section. Không giống nh- PLA, một minterm không thể đ-ợc dùng chung cho
2 hay nhiều cổng OR. Vì vậy, mỗi chức năng có thể đ-ợc tối giản bởi chính nó mà
không cần quan tâm đến các minterm chung. Số các minterm trong mỗi phần đ-ợc cố
định và khi số này quá lớn thì để thực thi chức năng này sẽ cần nhiều phần tổng hợp
lại.


AND gates inputs
0 1 2 3 4 5 6 7 8 9
1
2

F1

3
I1
4
5

F2

6
I2
7
F3

8
9
I3
10

F4

11
12
I4


Hình 1.5: Sơ đồ mạch PAL 4 đầu vào, 4 đầu ra

Hoàng Mạnh Kha - Lớp CH §TVT 2002-2004


-12-

Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA

Ví dụ: Mạch tổ hợp sử dụng PAL trong hình vẽ trên để thực hiện các chức năng
logic sau:
W(A,B,C,D) = m(2,12,13)
X(A,B,C,D) = m(7,8,9,10,11,12,13,14,15)
Y(A,B,C,D) = m(0,2,3,4,5,6,7,8,10,11,15)
Z(A,B,C,D) = m(1,2,8,12,13)

1.2.2.3. PLA

inputs

Programmable
Connections

Programmable
AND array

Programmable
Connections


Programmable
OR array

outputs

Hình 1.6: Sơ ®å khèi cđa PLA

PLA cã c¸c kÕt nèi cã thĨ lập trình đ-ợc cho cả hai mảng phần tử AND và mảng
phần tử OR. Đây là loại PLD linh hoạt nhất. Nó khá giống với PROM, tuy nhiên PLA
không cung cấp đầy đủ giải mà của các biến đầu vào. Bộ giải mà đ-ợc thay thế bằng
một mảng các phần tử AND có thể lập trình đ-ợc để tạo ra các minterm theo yêu cầu.
Các minterm sau đó đ-ợc kết nối có chọn lọc đến phần tử OR để cung cấp tổng cho
chức năng logic mong muốn. Hình thể hiện sơ đồ khối của PLA. Hình 1.7 cho ta cấu
trúc bên trong của PLA với 3 đầu vào và 2 đầu ra.
PAL và PLA đà xuất hiện trong nhiều kiểu thiết bị khác nhau của nhiều nhà sản
xuất trong suốt quá trình phát triển của PLD.

Hoàng Mạnh Kha - Lớp CH §TVT 2002-2004


-13-

Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA

A
B
C


0
1

F2

F1

Hình 1.7: Sơ đồ mạch PLA 3 đầu vào, 2 đầu ra

1.2.3. PLD tuần tự
1.2.3.1. SPLD
SPLD là thiết bị logic có khả năng lập trình đ-ợc theo kiểu tuần tự. Hầu hết các nhà
sản xuất đều quen thuộc với kiểu thiết bị này d-ới tên gọi EPLD. Sơ đồ khối cấu trúc
chung của một EPLD đ-ợc thể hiện trên Hình 1.8. Một khối đầu ra EPLD cơ sở th-ờng
bao gồm ba thành phần cơ bản: một mảng logic, một thanh ghi cấu hình đ-ợc và một
bộ đệm I/O cấu hình đ-ợc. Mỗi khối logic trên đ-ợc gọi là một macrocell. Sơ đồ khối
cấu trúc của một macrocell đ-ợc biểu diễn trên Hình 1.9.
Một macrocell bao gồm:
-

1 D Flip-Flop.

-

Hai bộ ghép kênh:

Hoàng Mạnh Kha - Líp CH §TVT 2002-2004



-14-

Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA

ã Bộ ghép kênh đầu ra có chọn lọc (Output Select): làm việc tuân theo bảng 1.
ã Bộ ghép kênh đầu vào/phản hồi (Input/Feedback):
C1:

0 - đăng ký đầu ra nh- một phản håi, vÝ dô cho mét state machine.

1 – nÕu bé đệm đầu ra không đ-ợc cho phép, chân đ-ợc lập trình
nh- là đầu vào thay vì đầu ra,còn nếu bộ đệm đầu ra đ-ợc kích hoạt đầu ra tổ hợp đ-ợc
coi nh- là phản hồi.

Bảng 1
Registered/Combination
C1

C0

Cấu hình

0

0

Registered/Active Low


0

1

Registered/ Active High

1

0

Combination/ Active Low

1

1

Combination/ Active High

FEEDBACK (Programmable)

Programmable

INPUT
PIN

OUTPUT
PIN

‘ AND’ & ‘ OR’
array


INPUT BLOCK
(Contains latches and others
programmable input options)
H×nh 1.8: CÊu tróc chung cđa một EPLD

Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004

OUTPUT BLOCK


-15-

Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA

AR
Q

D
CP

OUTPUT
SELECT
MUX
S1

S0


SP
INPUT/
FEEDBACK
MUX
S1
C1
C0

MACROCELL

Hình 1.9: CÊu tróc cđa mét macrocell

1.2.3.2. CPLD
Khi sù phøc t¹p trong thiết kế phần cứng tăng lên, thì việc chuyển sang sử dụng các
PLD VLSI là một điều tất yếu, nó cho phép các hệ thống số chứa hàng ngàn các cổng
logic đ-ợc thực hiện chỉ trong một IC duy nhất. Các PLD VLSI đà mở rộng khái niệm
của PLD lên mức cao hơn của sự tích hợp nhằm làm tăng khả năng hoạt động của hệ
thống, sử dụng ít không gian của bo mạch, tăng độ tin cậy và giảm đ-ợc chi phí.
Thiết bị logic phức hợp lập trình đ-ợc CPLD chính là một sản phẩm của PLD
VLSI. Ta nghiên cứu một chip CPLD điển hình là XC95108 dòng XC9500 của Xilinx.

Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004


-16-

Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA
I/O Pins


Fast CONNECT Switch Matrix

36

Configurable
Function Block

18

Configurable
Function Block

18

Configurable
Function Block

18

Configurable
Function Block

18

18

18

Configurable

Function Block
Configurable
Function Block

18

18

18
36
18
36
18
36
18
36

H×nh 1.10: Một CPLD của Xilinx

XC95108 chứa 6 khối chức năng có thể thể cấu hình đ-ợc (CFB: Configurable
Function Blocks) mà mỗi CFB t-ơng đ-ơng với 18 macrocells với 36 đầu vào (Input)
và 18 đầu ra (Output). Các đầu ra của macrocells đ-a ra khỏi chip thông qua các chân
vào/ra (I/O pin) nh-ng chóng cịng håi tiÕp vỊ mét ma trËn kÕt nèi tỉng thĨ (global
interconnection matrix), Xilinx gäi lµ ma trËn chun m¹ch kÕt nèi nhanh (Fast
Connect Switch Matrix). Tõng CFB nhận 36 đầu vào hồi tiếp về từ 6 x 18 = 108
macrocells đó đ-a vào ma trận chuyển mạch. Nhiều hàm logic với nhiều mức phức tạp
có thể đ-ợc xây dựng bằng cách lập trình với các hàm logic riêng của từng macrocell
trong từng CFB và sau đó kết nối chúng thông qua ma trận chuyển mạch. Kết quả là
một bản thiết kế có từng chân của CPLD đ-ợc 1 macrocell điều khiển thực hiện một
hàm logic lớn với rất nhiều đầu vào.

CPLD sử dụng bộ nhớ không mất thông tin (Nonvolative FLASH) dựa trên các
phần tử nhớ do đó thiết bị này l-u giữ đ-ợc ch-ơng trình của nó ngay cả khi cắt nguồn.
1.2.4. Các mảng cổng logic có thể lập trình đ-ợc (Programable Gate
Arrays).
Đại diện của loại thiết bị này là FPGA, đây cũng là loại thiết bị đ-ợc tập trung
nghiên cứu trong luận văn này. FPGA ra ®êi cïng thêi ®iĨm víi sù ra ®êi cđa CPLD
nh-ng víi mét c¸ch tiÕp cËn kh¸c. So víi CPLD, FPGA chứa một số l-ợng lớn hơn các
khối logic đơn và cung cấp một số l-ợng lớn các kết nối bên trong chip. Các khối logic

Hoàng Mạnh Kha - Lớp CH §TVT 2002-2004


-17-

Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA

của FPGA có ít chức năng hơn các macrocells của CPLD nh-ng các chức năng lớn hơn
có thể đ-ợc tạo ra thông qua viƯc xÕp chång c¸c khèi logic.
C¸c FPGA dïng RAM l-u giữ thông tin, do đó cần nạp lại ch-ơng trình mỗi lần
khi mất nguồn. Để khắc phục điều này, chúng ta có thể lựa chọn một trong 2 ph-ơng
pháp sau:
-

Th-ờng xuyên có nguồn nuôi cung cấp cho FPGA, tuy nhiên ph-ơng pháp này
ít đ-ợc sử dụng.

-


Chúng ta nạp file bitstream vào một bộ nhớ ROM có thể xoá và lập trình lại
đ-ợc nh- EPROM (Erasable and Programmable ROM) và file này sẽ tự động
nạp vào FPGA mỗi khi cấp nguồn. EPROM đ-ợc kết nối với FPGA theo sơ đồ
hình 1.11. FPGA sẽ tự reset mỗi khi đ-ợc cấp nguồn, sau đó nó đọc dữ liệu từ
EPROM bằng cách cung cấp các địa chỉ tuần tự tới đầu vào của EPROM và lấy
dữ liệu từ đầu ra của EPROM để cấu hình cho bản thân FPGA.

Address

FPGA
Data

EPROM
(contains
configuration
data)

Hình 1.11: Sơ đồ khối kết nối giữa FPGA và EPROM

Khối xây dựng cơ bản của FPGA là bảng tìm kiếm (LUT: Lookup Table).
Bảng LUT giống nh- một bảng AND có thể lập trình đ-ợc. Khi nạp vào đầu vào
các tổ hợp nhị phân, các bÝt nhí chøa trong bé nhí sÏ ®-a ra kÕt quả. Kết quả sẽ là 1
khi tất cả các đầu vào của LUT là 1.
Một bảng LUT điển hình có chỉ 4 đầu vào và một bộ nhớ nhỏ chứa 16 bit. Tổ hợp
nhị phân đầu vào (ví dụ 0101) sẽ hợp với địa chỉ của 1 bit nhớ riêng và tạo ra giá trị
đầu ra của nó là 0 nh- hình vẽ 1.12.
Bất cứ hàm logic 4 đầu vào nào đều có thể xây dựng bằng cách lập trình bộ nhớ
LUT với các bit thích hợp.

Hoàng Mạnh Kha - Líp CH §TVT 2002-2004



-18-

Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA

A=0
B=1
C=1
D=0

0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111


0
0
0
0
0
0 Các bít nhớ
0 (Memorybits)
0
0
0
0
0
0
0
0
1
Output = 0

Hình 1.12: Một bảng LUT 4 đầu vào

Trong các FPGA của Xilinx dòng XC 4000 (XC 4000 series), có 3 bảng LUT đ-ợc
nối với 2 Flip-Flop và cộng với vài mạch lái để tạo ra một CLB nh- hình 1.13.

Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004


-19-

Luận văn thạc sỹ


Nghiên cứu - úng dụng FPGA

C1. .. C4
4
H1

DIN/H2

SR/H0

EC

G4
G3
G2

S/R
CONTROL

Logic
Function
Of G’
G1-G4

YQ

DIN
F’
G’
H’


G1

Logic
Function
Of H’
F’,G’
and H1

SD
D

F2

Q

EC
RD

G’
H’

1

F4
F3

Bypass

Y

Bypass

S/R
CONTROL

Logic
Function
Of F’
F1-G4

DIN
F’
G’
H’

XQ

SD
D

Q

F1
EC
RD

K
1

(CLOCK)

H’
F’

X

H×nh 1.13: Mét CLB trong FPGA của Xilinx

Sau đó các CLB đ-ợc sắp xếp thành một dÃy với các ma trận chuyển mạch có thể
lập trình (PSM: Programmable Switch Matrices) giữa các CLB nh- hình 1.14.

I/O pin
PSM
PSM

PSM

PSM

PSM

PSM
PSM

PSM

PSM

PSM
PSM


PSM

PSM

I/O pin

PSM
PSM

PSM

PSM

PSM
PSM

PSM

PSM

I/O pin

PSM

I/O pin

Hình 1.14: Ma trận PSM

Hoàng Mạnh Kha - Líp CH §TVT 2002-2004



Luận văn thạc sỹ

-20-

Nghiên cứu - úng dụng FPGA

Các PSM đ-ợc sử dụng để tạo đầu ra từ các CLB gần nhau tới các đầu vào của một
CLB. Các chân ra của FPGA đ-ợc gắn với các PSM và CLB hoặc thậm chí có thể đ-ợc
gắn với ma trận định tuyến (Routing Matrix). Hầu hết các FPGA đều có nhiều CLB
hơn các chân vào ra. Vì vậy từng CLB không thể có sự nối trực tiếp với bên ngoài nhcác macrocells trong 1 CPLD.

H×nh 1.15: CÊu tróc chung cđa mét FPGA
Các đoạn dây kim loại kết nối bên trong có thể nối tuỳ ý theo các chuyển mạch có
thể lập trình đ-ợc để thực hiện những mạng tín hiệu mong muốn giữa các khối.
FPGA kết hợp những cổng logic, thanh ghi, và I/O với tốc độ hệ thống nhanh.
Các FPGA hiện đang đáp ứng các yêu cầu của thị tr-ờng về:
-

Khả năng hoạt động: khả năng thiết kế phần cứng thời gian thực hoạt động ở
tần suất cao.

-

Mật độ và công suất: có khả năng tăng mức độ tích hợp và có thể đặt đ-ợc
nhiều hơn nữa trong một chip cũng nh- số l-ợng các cổng logic trong FPGA, từ
đó cung cấp giải pháp về chi phí.

-


Dễ dàng sử dụng: cung cấp khả năng mạnh cho phép ng-ời thiết kế đ-a sản
phẩm của họ ra thị tr-ờng nhanh hơn.

Hoàng Mạnh Kha - Líp CH §TVT 2002-2004


Luận văn thạc sỹ

-21-

Nghiên cứu - úng dụng FPGA

Ch-ơng 2
Công nghệ asic và công cụ thiết kế
2.1. Sự ra đời của công nghệ AsiC
Nhằm cải thiện tính linh hoạt của các IC và nâng cao chất l-ợng ngành công
nghiệp sản xuất IC, IEEE đà tổ chức các hội thảo về các IC theo đơn đặt hàng CICC
(Custom Integrated Circuit Conference). Theo đó, có nhiều kiểu IC dạng theo đơn đạt
hàng khác nhau được đưa ra nhằm giải quyết cho nhiều mục đích ứng dụng cụ thể
chuyên biệt. Từ đây, xuất hiện một thuật ngữ mới và chính thức đ-ợc sử dụng đó là:
Application - Specific IC, hay viết tắt là ASIC. Hiện nay, IEEE th-ờng xuyên tổ chức
định kỳ các hội thảo cấp quốc tế về ASIC, nhằm điều chỉnh và nâng cao các -u điểm
cũng nh- tính thuận tiện và đ-a ra các công nghệ tiên tiến của các hÃng sản xuất ASIC
khác nhau và phân loại chúng thành nhiều chủng loại IC theo đơn đặt hàng. Mặc dù để
định nghĩa đ-ợc chính xác ASIC là rất khó, song chúng ta coi nh- đó là một thuật ngữ
đà đ-ợc công nhận chính thức trong ngành công nghiệp IC.
Định nghĩa tiÕng Anh cđa tht ng÷ ASIC theo IEEE 12/1999 nh­ sau: “ASIC
stands for Application Specific Integrated Circuits. An ASIC is custom tailored IC that
perfoms a specific task for specific application”- tạm dịch là ASIC là viết tắt của từ
Application Specific Integrated Circuits, nghĩa là các mạch tích hợp ứng dụng chuyên

biệt - hoặc ngắn gọn hơn là IC chuyên dụng. Tuy nhiên, nhiều nhà ASIC học vẫn
quen gọi là các IC dùng cho mục đích của khách hàng hoặc là các IC được thiết kế
đặc biệt theo yêu cầu của khách hàng.
Ví dụ về các IC không phải là ASIC theo yêu cầu bao gồm các loại sau: các chip
nhớ nh- ROM, DRAM, và SRAM; các bộ phận xử lý, các IC họ TTL và t-ơng đ-ơng
theo công nghệ SSI, MSI và LSI.
Còn các IC thuộc dòng ASIC bao gồm: các chíp dùng trong công nghiệp giải trí;
các chíp dùng trong công nghiệp vệ tinh, vũ trụ, các chíp đ-ợc thiết kế dùng để giao
tiếp giữa bộ vi xử lý đối với các CPU của các máy trạm làm việc và các chip chứa bộ vi
xử lý bên trong cùng với các phần tử logic khác.
Theo quy tắc chung, một IC có thể không phải là ASIC, nh-ng đôi khi có những
tr-ờng hợp ngoại lệ. Ví dụ, một IC là chip điều khiển đối với PC và một IC là chip điều
khiển MODEM. Cả hai đều là các IC ứng dụng chuyên biệt (giống nh- ASIC) nh-ng
cả hai đều có một số điểm khác khi sử dụng vào những hệ thống khác. Do vậy, ng-ời ta
chia họ ASIC thành ba thành viên chính: Cell Based ICs (CBICs), Gate Array và
Programmable Logic Devices (PLDs). Lúc đó xuất hiện thêm một thuật ngữ t-ơng

Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004


Luận văn thạc sỹ

-22-

Nghiên cứu - úng dụng FPGA

đ-ơng ASIC là ASSPs (Application Specific Standard Product các sản phẩm tiêu
chuẩn ứng dụng chuyên biệt). Do vậy, khi quyết định chọn IC nào trong số họ ASIC
rộng lớn thì rất khó, chủ yếu dựa vào công nghệ chế tạo và khả năng ứng dụng cũng
nh- mục đích sử dụng.

2.2. Các hÃng chính phát triển ứng dụng công nghệ ASIC
Hiện nay, tại các n-ớc có nền công nghiệp điện tử và vi điện tử phát triển cao, việc
nghiên cứu và ứng dụng ASIC vào thực tế đà đ-ợc áp dụng vào đầu thập kỷ 90. Một số
hÃng chính trên thế giới về công nghệ ASIC nh-:
IBM: có các sản phẩm Power PC nổi tiếng, mới nhất hiện nay là dòng sản phẩm
Power 4+ tích hợp bên trong hai bộ vi xử lý, t-ơng thích cả 64 bit và 32 bit. Ngoài ra,
còn có dòng sản phẩm Power PC Gx 32 bit và Power PC G5 64 bit kết hợp với hÃng
Apple. Các sản phẩm của IBM hiện nay cũng dựa trên công nghệ 0.18 m và 0.13 m.
Hiện nay, IBM là hÃng đứng đầu thế giới về các sản phẩm ASIC/ PLD .
NEC: NEC là hÃng đứng thứ hai về l-ợng sản phẩm ASIC bán ra trên thị tr-ờng thế
giới, trong đó có dòng máy chủ gây nên sự kinh ngạc khi kỷ lục về siêu máy tính mạnh
nhất thế giới vào thời điểm 11/2003 thuộc về hệ thống Earth Simulator đặt tại
YOKOHAMA (Nhật Bản) với các CPU của NEC.
Xilinx: hÃng này với các sản phẩm truyền thống là Virtex-II, Virtex II Pro thuộc
dòng FPGA mới nhất theo công nghệ 90 nm, và dòng sản phẩm Spartan 3 tích hợp
với 5 triệu cổng thuộc họ FPGA. Cuối cùng là các sản phẩm PLD điển hình là
CoolRunner-II. Hiện nay, Xilinx đứng thứ 4 thế giới về các sản phẩm ASIC/PLD. Các
sản phẩm của Xilinx còn đ-ợc biết đến với công cụ EDA dành cho thiết kế là phần
mềm ISE 6.2i.
Altera: hiện nay là hÃng đứng thứ 8 trong số các công ty chuyên về ASIC/PLD và
đứng thứ 3 về các sản phẩm FPGA. HÃng này có các sản phẩm nh-: EP20K100TC1443, EPF8282ALC84-4,với các phần mềm đi kèm hỗ trợ cho các sản phẩm của các
hÃng khác là MAX + PLUS II và Quartus 3.0.
Ngoài ra còn có một số hÃng khác là Agere, Fujitsu, Toshiba…
2.3. C¸c h-íng tiÕp cËn thiÕt kÕ ASIC
2.3.1. Các công nghệ lập trình thiết kế ASIC.
Tóm tắt công nghệ lập trình cho ASIC đ-ợc trình bày trong bảng 2.1 sau:

Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004



-23-

Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA

Bảng 2.1
Công nghệ
lập trình
Các phần tử
RAM tĩnh
Lập trình
cầu chì
nghịch
PLICE
(PLICE
anti fuse)
Lập trình
cầu chì
nghịch
ViaLink
(ViaLink
anti fuse)
EPROM
EEPROM

Tính
bay hơi



Có thể lập
trình
Trong mạch

Diện tích
của ASIC
Lớn

Điện trở
(ohm)
1-2K

Điện tích
(pF)
10 20

Không

Không

Anti fuse
nhỏ
Số transistor
lớn

300-500K

3-5

Không


Không

Anti fuse
nhỏ
Số transistor
lớn

50-80K

1-3

Không
Không

Ngoài mạch
Trong mạch

Nhỏ
2xEPROM

2-4K
2-4K

10-20
1-20

2.3.2. Đầu vào thiết kế ASIC
Mục đích của đầu vào thiết kế mô tả một hệ thống vi điện tử dựa trên các công cụ
của hệ tự động thiết kế điện tử EDA. Các hệ thống điện tử đ-ợc xây dựng dựa trên các

thành phần tĩnh, nh- là các IC TTL. Đầu vào thiết kế đối với các hệ thống này chính là
công việc vẽ các mạch và tổng hợp dạng giản đồ. Giản đồ thể hiện các thành phần đ-ợc
kết nối với nhau nh- thế nào, đó chính là liên kết của một ASIC. Phần này của quá
trình đầu vào thiết kế đ-ợc gọi là đầu vào giản đồ. Một giản đồ mạch mô tả một ASIC
giống nh- là một bản thiết kế cho một công trình xây dựng.
Giản đồ mạch là một bản vẽ, là một khuôn dạng đơn giản để chúng ta có thể hiểu
và sử dụng, nh-ng các máy tính cần làm việc với các phiên bản ASCII hoặc các tệp nhị
phân và chúng ta gọi là các tệp tin netlist (viết tắt là netlist). Đầu ra của công cụ thiết
kế giản đồ chính là một netlist có chứa mô tả của tất cả các thành phần trong một bản
thiết kế và các đ-ờng kết nối của chúng.
Không phải tất cả các thông tin thiết kế có thể chuyển thành giản đồ mạch hoặc
netlist, vì không phải tất cả các chức năng của một ASIC đều đ-ợc mô tả qua thông tin
kết nối. Ví dụ, giả sử chúng ta sử dụng một ASIC lập trình đ-ợc cho một vài chức năng
logic ngẫu nhiên. Một phần của ASIC có thể đ-ợc thiết kế bằng cách sử dụng ngôn
ngữ lập trình dạng text. Trong tr-ờng hợp này, đầu vào thiết kế cũng bao gồm cả phần
viết bằng mà nguồn. Vậy điều gì xảy ra nếu một ASIC trong hƯ thèng cđa chóng ta cã
chøa mét PROM (Programmable Memory)? Phải chăng vì mà lệnh là một phần của
Hoàng Mạnh Kha - Líp CH §TVT 2002-2004


Luận văn thạc sỹ

-24-

Nghiên cứu - úng dụng FPGA

đầu vào thiết kế? Việc điều hành hệ thống của chúng ta chắc chắn phụ thuộc vào
ch-ơng trình chuẩn của PROM. Vì vậy, mà lệnh PROM phải là một phần của đầu vào
thiết kế. Mặt khác không ai coi mà lệnh hệ điều hành đà đ-ợc nạp vào RAM trên một
ASIC là một phần của đầu vào thiết kế. Rõ ràng là có nhiều dạng đầu vào thiết kế khác

nhau. Trong mỗi tr-ờng hợp nó rất quan trọng để bảo đảm cho chúng ta hoàn thành chỉ
định cho hệ thống - tức là không chỉ xây dựng cấu trúc chính xác mà còn để bất kỳ ai
cũng hiểu đ-ợc hệ thống làm việc nh- thế nào. Đầu vào thiết kế là một trong những
phần quan trọng nhất của công nghệ ASIC.
Cho đến hiện nay thì hầu hết các đầu vào thiết kế cho ASIC vẫn sử dụng ph-ơng
pháp giản đồ đầu vào. Do ASIC ngày càng trở nên phức tạp hơn, các ph-ơng pháp đầu
vào thiết kế khác ngày càng trở nên phổ biến. Các ph-ơng pháp đầu vào thiết kế đều có
thể sử dụng ph-ơng pháp đồ hoạ, chẳng hạn là một giản đồ, hoặc các tệp dạng text
d-ới dạng ngôn ngữ lập trình. Việc sử dụng ngôn ngữ mô tả phần cứng HDL cho mục
đích đầu vào thiết kế cho phép chúng ta tạo ra các netlist trực tiếp bằng cách tổng hợp
logic. Chúng ta sẽ đề cập đến các ph-ơng pháp đầu vào thiết kế mức thấp cùng với
các -u điểm cũng nh- nh-ợc điểm của chúng trong mục 2.5.
Đầu vào thiết kế bao gồm các thành phần thiết kÕ sau:
Th- viƯn thiÕt kÕ ASIC.
Th- viƯn thiÕt kÕ c¸c vi mạch ASIC lập trình đ-ợc (programmable ASIC).
Th- viện phần tử logic ASIC lập trình đ-ợc.
Th- viện phần tử vào/ ra ASIC lập trình đ-ợc.
Th- viện phần tử kết nối ASIC lập trình đ-ợc.
Phần mềm thiết kế ASIC lập trình đ-ợc:
- Đầu vào thiết kế logic mức thấp (Low level design entry) sư dơng VHDL: Bé
qc phßng Mü (The US Department of Defence-DoD) đà hỗ trợ việc phát triển ngôn
ngữ VHDL (VHSIC Hardware Description Laguage) nh- một phần của ch-ơng trình
quốc gia VHSIC (Very High Speed IC) vào đầu thËp kû 80.
- Tỉng hỵp logic (Logic Synthesis): tỉng hỵp logic cung cấp giữa một tệp HDL
(VHDL hoặc Verilog) và một netlist t-ơng tự nh- cách mà một bộ biên dịch C cung
cấp liên kết giữa mà lệnh ch-ơng trình C và ngôn ngữ máy.
- Mô phỏng (Simulation): các kỹ s- đà quen với các hệ thống mẫu dùng để kiểm tra
sản phẩm thiết kế của họ, đó là việc sử dụng một đế thử mạch mẫu, cho phép cắm các
IC và các dây dẫn lên. Đế thử mạch mẫu chỉ có thể thực hiện đ-ợc khi xây dựng hệ
thống từ một vài IC TTL. Tuy nhiên, điều này là phi thực tế đối với thiết kế ASIC. Do


Hoàng Mạnh Kha - Líp CH §TVT 2002-2004


-25-

Luận văn thạc sỹ

Nghiên cứu - úng dụng FPGA

vậy, hầu hết các kỹ s- thiết kế ASIC đề sử dụng ph-ơng pháp mô phỏng t-ơng đ-ơng
thay cho mô hình đế thử mạch.
-Thử nghiệm mức logic (test): các ASIC đ-ợc thử nghiệm theo hai giai đoạn trong
quá trình sản xuất bằng cách sử dụng các ph-ơng pháp thử nghiệm sản xuất.
2.3.3. Thiết kế vật lý
Hình 2.1 biểu diễn một phần của sơ đồ thiết kế.
Đầu tiên chúng ta áp dụng việc phân chia hệ thống để chia một hệ thống vi điện tử
thành các ASIC. Trong phần lên sơ đồ mặt bằng, chúng ta sẽ đánh giá kích th-ớc và đặt
các vị trí liên quan của các khối trong ASIC (đôi khi còn đ-ợc gọi là sắp xếp chip chip planning). Cùng thời điểm này chúng ta định vị khoảng trống cho đ-ờng xung
nhịp, nguồn và quyết định vị trí của cổng I/O. Việc sắp đặt (Placement) định nghĩa vị
trí của các phần tử logic cùng với sự linh hoạt của các khối và khoảng trống dành cho
việc nối các phần tử logic. Việc sắp đặt đối với thiết kế ma trận cổng (Gate - array)
hoặc phần tử tiêu chuẩn (Standard - cell) bố trí mỗi một phần tử logic vào vị trí trong
cùng một hàng. Việc lên sơ đồ mặt bằng (floor planning) và sắp đặt phần tử đôi khi cã
thĨ sư dơng c«ng cơ CAD (Computer Aided Design). ViƯc định tuyến (Routing) thực
hiện đ-ờng kết nối giữa các phần tử logic. Việc định tuyến là một vấn đề rất khó và
th-ờng đ-ợc phân chia thành các b-ớc riêng biệt gọi là định tuyến toàn cục và định
tuyến cục bộ. Định tuyến toàn cục xác định các kết nối giữa các phần tử logic đà đặt
chỗ và các khỗi sẽ đặt chỗ ở đâu. Còn định tuyến cục bộ là mức định tuyến cụ thể và
chi tiết đến từng phần tử.

Design Entry

Synthesis
System
partitioning
Floor planning

Placement

Routing
Hình 2.1: Một phần của thiết kế ASIC

Hoàng Mạnh Kha - Lớp CH ĐTVT 2002-2004


×