Tải bản đầy đủ (.docx) (48 trang)

ĐỒ ÁN: THIẾT KẾ MẠCH KHÓA SỐ HIỂN THỊ TRÊN LCD

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (663.56 KB, 48 trang )

Khóa số hiển thị LCD
Phần A
M Đ UỞ Ầ
LỜI NÓI ĐẦU
1
Khóa số hiển thị LCD
Trong một xã hội hiện đại, sự phát triển của ngành điện tử viễn thông là
một yêu cầu không thể thiếu để thúc đẩy nền kinh tế phát triển và góp phần
nâng cao đời sống xã hội.
Ngày nay, trên thế giới, điện tử viễn thông vẫn không ngừng phát triển
với tốc độ rất cao và thâm nhập ngày càng sâu vào tất cả các lĩnh vực của đời
sống xã hội. Cùng với sự phát triển như vũ bão đó, ngành điện tử viễn thông
Việt Nam cũng đang nỗ lực hết sức trên con đường tìm chỗ đứng cho
mình.Trong đó, lĩnh vực bảo mật là một mảng lớn mà chúng ta cần quan
tâm.Chính vì thế, với mục đích làm quen bước đầu với việc thiết kế mạch điện
tử nói chung và với hệ thống an toàn, bảo mật nói riêng, chúng em chọn đề tài
“ Thiết kế hệ thống khóa mã điện tử ” để nghiên cứu và thực hiện. Hệ thống
này cho phép ta quản lý và hạn chế được số người ra vào theo mã số trong
khu vực cần bảo mật với độ an toàn cao.
Đề tài tuy không lớn song về mặt nguyên lý thì có thể phát triển thành
các ứng dụng quản lý theo thẻ từ, mã vạch,mã hoá trong các khu vực đặc biệt
cần phải có may tính hiện đại với CSDL,ngoài mật mã ra còn kiểm tra tần số
giọng nói và camera kiểm tra hình ảnh… mà hiện nay đang rất cần thiết. Vì
thế, đối với chúng em đây là bước cơ sở để nghiên cứu những ứng dụng lớn
hơn sau này.
Trong quá trình thực hiện đề tài, tuy đã rất cố gắng song do những hạn
chế về thời gian tìm hiểu, kiến thức cũng như kinh nghiệm thực tế nên chúng
em không tránh khỏi nhiều thiếu sót. Chúng em rất mong nhận được những ý
kiến đóng góp của thầy cô và các bạn để đề tài của chúng em được hoàn thiện
hơn, với nhiều chức năng hơn.
Hcm 20/5/2009


2
Khóa số hiển thị LCD
LỜI CẢM ƠN
Em chân thành cảm ơn Thầy TRƯƠNG NĂNG TOÀN đã hướng dẫn
nghiên cứu khoa học, hỗ trợ tài liệu trong quá trình thực hiện đồ án.
Em cũng cảm ơn các Thầy Cô đã giảng dạy ở chương trình Đại học,
giúp em có thêm nhiều kiến thức mới và hữu ích liên quan đến đề tài.
Cảm ơn các bạn học đã trao đổi, góp ý để đề tài được hoàn thiện hơn.
Con cám ơn ba mẹ đã quan tâm giúp đỡ trên bước đường học tập.

3
Khóa số hiển thị LCD
NHẬN XÉT
(Của giảng viên hướng dẫn)
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………

………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
4
Khóa số hiển thị LCD
………………………………………………………………………………………
………………………
NHẬN XÉT
(Của giảng viên phản biện)
………………………………………………………………………………………
………………………………………………………………………………………

………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………

………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………
5
Khóa số hiển thị LCD
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………………………………………………………
………………………………………
MỤC LỤC
PHẦN A MỞ ĐẦU
PHẦN B NỘI DUNG
CHƯƠNG 1: GIỚI THIỆU CHỨC NĂNG HỆ THỐNG 2
1.1. Chức năng hệ thống 2
1.2. Phân tích hệ thống 2
1.3. Lựa chọn phần cứng 3
CHƯƠNG 2: CHI TIẾT TỪNG KHỐI 6
2.1.Khối vi xử lý 6
2.1.1. Tổ chức ngoại vi 6
2.1.2. chức năng chân và kết nối 7
2.2 Khối phím số 9
2.3 Khối hiển thị LCD 11
2.4 Khối báo động 15
CHƯƠNG 3:LƯU ĐỒ VÀ GIẢI THUẬT 16

3.1 KÝ HIỆU 16
3.2 Main 17
3.3 ctc check code 18
3.4 ctc copy code 19
4.5 ctc get_input 20
3.6 ctc get_key 21
3.7 ctc set up pass 22
3.8 ctc translate 23
CHƯƠNG 4: KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN 24
PHẦN C PHỤ LỤC
6
Khóa số hiển thị LCD
CHƯƠNG 1:CODE 26
CHƯƠNG 2: TÀI LIỆU THAM KHẢO 40
7
Khóa số hiển thị LCD
Phần B
N I DUNGỘ
8
Khóa số hiển thị LCD
Chương 1: GIỚI THIỆU CHỨC NĂNG HỆ THỐNG
Đây là một hệ thống đóng mở theo mã cho phép một số ít người có thể ra vào
theo mã của họ. Nó có thể được áp dụng làm cửa ra vào của các hệ thống cần mang
tính bảo mật, giới hạn số người ra vào như: Cửa ra vào cơ quan, nhà máy, các khu
quan trọng
Trên cơ sở đó, hệ thống phải đảm bảo được hai yêu cầu cơ bản sau:
-Tính an toàn: phải có chức năng bảo mật.
-dễ sử dụng:có đầy đủ hướng dẫn để người dùng sử dụng
Do đó chúng em đã đưa ra yêu cầu một hệ thống có chức năng đóng mở như
sau:

1.1Chức năng hệ thống:
• Hệ thống quản lí một số mã ứng với một số người được cho phép ra vào ở
của này và hoạt động trên cơ sở các mã này.
• Mã sử dụng các chữ số từ 0->9
• Độ dài của mã từ 1->10 chữ số
• Hệ thống giao tiếp với người sử dụng thông qua một bàn phím điều khiển và
hiển thị trên màn hình .
• Bàn phím gồm các phím số 0-9 và các phím chức năng: Set, Enter,
backspace().
• Khi bắt đầu , người sử dụng được yêu cầu nhập mã:
• Nếu chọn enter:
o Hệ thống so sánh mã vừa nhập với code .
o Nếu mã đúng: cửa sẽ mở(mô phỏng trên mạch bằng việc bật hay tắt
đèn LED xanh)
o Nếu mã sai: yêu cầu nhập lại. Nếu nhập mã sai 3 lần liên tiếp chuông
sẽ báo động. (mô phỏng trên mạch bằng việc bật hay tắt đèn LED đỏ)
• Nếu chọn set: Kiểm tra xem mã cũ có đúng hay không.
-Nếu mã đúng: cho phép thay đổi mã bằng cách nhập vào mã mới và
xác nhận đúng mã này một lần nữa.
-Nếu mã sai: không có hiện tượng gì cả. Nếu nhập mã sai ba lần liên
tiếp chuông sẽ báo động.
9
Khóa số hiển thị LCD
• Trong quá trình nhập mã, nếu có nhầm lẫn có thể nhập lại bằng cách sử dụng
nút Backspace()
1.2. Phân tích hệ thống :
1.2.1.Khả năng đáp ứng yêu cầu của hệ thống:
Hệ thống giao tiếp với người sử dụng chỉ bằng bàn phím và hiển thị do vậy
nó hạn chế được tác động của người sử dung vào nội dung bên trong của khoá.
Thêm vào đó là khả năng báo động khi nhập ba mã sai liên tiếp làm cho hệ

thống có tính an toàn cao.
Mỗi người có một mã riêng. Nếu nghi ngờ lộ mã, người dùng có thể thay đổi
mã theo mong muốn. Nhờ đó mà khả năng bảo mật của hệ thống cũng tăng cao.
1.2.2. Phân tích hệ thống:
Do giới hạn của yêu cầu, chúng em chỉ tập trung vào thiêt kế phần mạch điện
tử để điều khiển hệ thống này.
Theo yêu cầu đưa ra, hệ thống gồm 3 khối cơ bản:
• Khối bàn phím: cần 13 nút gồm:
- Các nút số từ 0-9
- Các nút chức năng: enter, set, <
- Sử dụng các phím bấm tự nhả để nhập vào.
• Khối mạch xử lí: Xử lí các hoạt động đã nêu ở yêu cầu hệ thống.
• Khối hiển thị: Giúp cho việc giao tiếp với người sử dụng trở nên dễ dàng hơn
người sử dụng biết mình đang thực hiện thao tác gì với cửa.
1.3.Lựa chọn phần cứng:
Trên cơ sở các yêu cầu đã đặt ra và các phân tích sơ bộ, từng khối đều có các
phương án giải quyết như sau:
1.3.1. Khối bàn phím:
Bàn phím gồm các nút. Mỗi nút là một bộ phận đóng mở bằng cơ khí. Các
mã của bàn phím tạo ra có thể được tạo ra trực tiếp hoặc bằng phép quét hàng và
quét cột.
Số đầu dây ra từ bàn phím phải phù hợp với đầu vào của mạch xử lí. Đồng
thời với càng ít dây thì khả năng ổn định của bàn phím càng tăng.
Bố trí thành 4 hàng và 4 cột:
• Số đầu ra từ bàn phím là 8 dây.
• Số phím cần sử dụng là 13
• Còn dư 3 nút để mở rộng chức năng trong tương lai.
1.3.2 Khối hiển thị:
10
Khối vi xử lý Khối hiển thịKhối bàn phím

Khóa số hiển thị LCD
Yêu cầu đặt ra đối với khối hiển thị là thân thiện với người sử dụng.
Dùng LCD.
• Ưu điểm:
Hiển thị rõ ràng có kèm theo chỉ dẫn.
Thay đổi nội dung linh hoạt.Xử lý lập trình đơn giản hơn LED7 thanh
và ma trận điểm.
• Nhược điểm: Giá thành đắt.
1.3.3 Mạch xử lí:
Dùng vi điều khiển:
• Ưu điểm:
- Vi điều khiển có khả năng điều khiển linh hoạt theo mong
muốn của người sử dụng dựa vào phần mềm được viết.
- Khả năng thay đổi mã có thể thực hiện được.
- Hệ thống đơn giản hơn nhiều, kích thức nhỏ. Hơn nữa sẽ giảm
được độ kém ổn định do nhiều linh kiện gây ra.
- Có thể thay đổi, thêm chức năng bằng cách thay đổi phần
mềm.
• Nhược điểm:
- Chất lượng của hệ thống phụ thuộc vào chất lượng chương trình
được nạp cho vi điều khiển.
Từ yêu cầu của đề bài ta dự kiến dùng các chip vi điều khiển thuộc họ MCS-51
của Intel, mà cụ thể ở đây là dùng chip 8051 vì những lý do sau:
• Thứ nhất 8051 thuộc họ MCS-51, là chip vi điều khiển. Đặc điểm của
các chip vi điều khiển nói chung là nó được tích hợp với đầy đủ chức
năng của một hệ VXL nhỏ, rất thích hợp với những thiết kế hướng
điều khiển. Tức là trong nó bao gồm: mạch VXL, bộ nhớ chương
trình và dữ liệu, bộ đếm, bộ tạo xung, các cổng vào/ra nối tiếp và song
song, mạch điều khiển ngắt…
• Thứ hai là, vi điều khiển 8051 cùng với các họ vi điều khiển khác nói

chung trong những năm gần đây được phát triển theo các hướng sau:
Giảm nhỏ dòng tiêu thụ.
Tăng tốc độ làm việc hay tần số xung nhịp của CPU.
Giảm điện áp nguồn nuôi.
Có thể mở rộng nhiều chức năng trên chip, mở rộng cho các
thiết kế lớn.
Những đặc điểm đó dẫn đến đạt được hai tính năng quan trọng là: giảm công
suất tiêu thụ và cho phép điều khiển thời gian thực nên về mặt ứng dụng nó rất thích
hợp với các thiết kế hướng điều khiển.
• Thứ ba là, vi điều khiển thuộc họ MCS-51 được hỗ trợ một tập lệnh
phong phú nên cho phép nhiều khả năng mềm dẻo trong vấn đề viết
chương trình phần mềm điều khiển.
• Cuối cùng là, các chip thuộc họ MCS-51 hiện được sử dụng phổ biến
và được coi là chuẩn công nghiệp cho các thiết kế khả dụng.Với sinh
11
Khóa số hiển thị LCD
viên mới làm quen với VĐK thì 8051 có nhiều tài liệu tham
khảo,đồng thời cũng sử dụng đơn giản nhất. Mặt khác, qua việc khảo
sát thị trường linh kiện việc có được chip 8051 là dễ dàng nên mở ra
khả năng thiết kế thực tế.
Vì những lý do trên mà việc lựa chọn vi điều khiển AT89C51 của hãng Atmel,
đây là vi điều khiển thuộc họ MCS-51.
1.3.4 Kết luận:
Sau khi cân nhắc các phương án đã đưa ra, và khả năng phối hợp giữa các
khối, chúng em chọn giải pháp:
- Sử dụng bàn phím ma trận 4x4.
- Khối hiển thị dùng LCD 2x16 HD44780
- Khối mạch xử lí dùng vi điều khiển AT89C51
12
VI XU LY AT89C51

BÀN PHÍM
4 X 4
KHOI HIEN THI
LCD 16 X 2
BÁO ĐỘNG
ĐIỀU KHIỂN CỬA
LED 1
LED 2
LED Lớn
Khóa số hiển thị LCD
Chương 2: CHI TIẾT TỪNG KHỐI
2.1 Khối vi xử lý:
2.1.1 Tổ chức ngoại vi:
- Chip: AT89C51
- Ghép nối màn hình LCD: 16x2
- Bàn phím ma trận 4x4
Ngoài ra còn:
- LED báo hiệu chương trình đang chạy
- Tín hiệu cho phép chạy được xử lý bằng cách dùng một nút ấn Reset hệ
thống.
- Tín hiệu báo động, mở cửa, đóng cửa được đưa ra led
Sơ đồ khối của hệ thống như sau:
13
Khóa số hiển thị LCD
Nhiệm vụ của khối xử lý trung tâm:
• Đọc mã phím từ bàn phím để kiểm tra xem phím nào đã được ấn và
thực hiện chức năng của phím đó.
• Lưu giá trị của các mã để mở khóa.
• Lưu các chương trình xử lý phím ấn: mở khóa, đổi mã, báo động…
• Lưu chương trình điều khiển LCD.

• Lưu chương trình
2.1.2 Chức năng của các chân của VĐK trung tâm:
33pF
33pF
14
40
Vcc
XTAL.1
XTAL.2
PSEN
ALE
EA
RST
Vss
19
P0.7
P0.6
P0.5
P0.4
P0.3
P0.2
P0.1
P0.0
P1.7
P1.6
P1.5
P1.4
P1.3
P1.2
P1.1

P1.0
P2.7
P2.6
P2.5
P2.4
P2.3
P2.2
P2.1
P2.0
32 AD7
33 AD6
34 AD5
35 AD4
36 AD3
37 AD2
38 AD1
39 AD0
8
7
6
5
4
3
2
1
28 A15
27 A14
26 A13
25 A12
24 A11

23 A10
22 A9
21 A8
12 MHz
18
29
30
31
9
8051
RD
WR
T1
T0
INT1
INT0
TXD
RXD
17
16
15
14
13
12
11
10
P3.7
P3.6
P3.5
P3.4

P3.3
P3.2
P3.1
P3.0
20
Khóa số hiển thị LCD
-Port 0:dùng để nhận dữ liệu đầu vào của mã bàn phím.
P0 nối với điện trở kéo lên làm port nhận dữ liệu
P0.0 cột 1
P0.1 2
P0.2 3
P0.3 4
P0.4 hàng 1
P0.5 2
P0.6 3
P0.7 4
-Port 3: giao tiếp 4 bit voi lcd
Chân P3.0 nối với D4 của lcd
P3.1 D5
P3.2 D6
P3.3 D7
P3.4
P3.5 reset
P3.6
P3.7 enable
-Port 2:
P2.6 bit lock
P2.7 bit alarm
-Chân số 9 nối với mạch reset, hoạt động ở mức High(1).
-Chân số 18,19:nối với bộ dao động thạch anh,tụ 33p để tạo dao động cho vi

AT89C51.
XTAL1, XTAL2 là bộ tạo tín hiệu giữ nhịp với tần số được xác định
bởi bộ cộng hưởng thạch anh được lắp thêm vào, tần số này xác định
tốc độ làm của bộ vi điều khiển. Thông thường các lệnh được thực
hiện bằng 1/12 tần số dao động của thạch anh
Chọn thạch anh11.0 MHz 2
-Chân số 20: Vss nối đất
-Chân số 31: EA Đóng vai trò quyết định xem vi điều khiển làm việc với
chương trình bên trong hay bên ngoài. Trong mạch ta nối lên nguồn vì không
sử dụng ROM bên ngoài
-Chân số 40: Vdd nguồn dương( +5V)
Lập trình cho 8051 bằng assembly dịch ra file hex bằng topview simulator
15
Khóa số hiển thị LCD
2.2 Khối phím số:
Hệ thống sử dụng bàn phím 4x4 có giao diện như sau:
Col1 col2 col3 col4

Các phím của bàn phím.
Trong đó:
Phím 0-9 dùng để nhập mã
Các phím chọn chức năng:
Enter :sau khi nhập mã nhấn enter để thực hiện
 :xóa ký tự vừa nhập
Set:thay đổi password
Nguyên lý hoạt động bàn phím:
Ta giải mã bàn phím được tín hiệu có 8 bit
Trong vi điều khiển ta lập trình nạp mã số dạng 8 bit như bàn phím mã hoá được
vào lần lượt thanh ghi trong ROM.
Ta đem so sánh mã bàn phím nhấn với mã được nạp. Để mở rộng ta có thể giải

mã bàn phím về dạng phức tạp hơn để tính bảo mật được tốt hơn
Keypad được nối với port 0 sử dụng điện trở kéo lên
P0 nối với điện trở kéo lên làm port nhận dữ liệu
P0.0 cột 1
P0.1 2
P0.2 3
P0.3 4
16
1 2 3
4 5 6
7 8 9
enter 0 set

Khóa số hiển thị LCD
P0.4 hàng 1
P0.5 2
P0.6 3
P0.7 4
Bảng mã hóa trạng thái bàn phím
.Phím Trạng thái khi ấn phím
1 1 0 0 0 0 0 0 1
2 1 0 0 0 0 0 1 0
3 1 0 0 0 0 1 0 0
4 0 1 0 0 0 0 0 1
5 0 1 0 0 0 0 1 0
6 0 1 0 0 0 1 0 0
7 0 0 1 0 0 0 0 1
8 0 0 1 0 0 0 1 0
9 0 0 1 0 0 1 0 0
0 0 0 0 1 0 0 1 0

set 0 0 0 1 1 0 0 0
enter 0 0 0 1 0 0 0 1

0 0 0 1 0 1 0 0
2.3. Khối hiển thị LCD:
Với các ưu điểm như: tiêu thụ dòng thấp, hiển thị được hình ảnh/ký tự linh hoạt tốt
hơn nhiều so với LED ,màn hình tinh thể lỏng LCD (Liquid Crystal Display) được sử
17
Khóa số hiển thị LCD
dụng trong hầu hết các thiết bị điều khiển trong công nghiệp để hiển thị trạng thái của
máy móc. Ngày nay với công nghệ phát triển giá thành của LCD cũng giảm nhiều.
Do có rất nhiều chủng loại khác nhau, nên LCD được sử dụng rất rộng rãi. Tất cả
các LCD đều được sản xuất theo các chuẩn chung, tích hợp luôn các module điều khiển
(cho LCD) nên việc sử dụng nó không mấy phức tạp. Bộ điều khiển LCD cung cấp một
tập lệnh dùng để diều khiển LCD.
Trong ứng dụng cụ thể này, do không yêu cầu hiển thị phức tạp nên em chọn loại
LCD kiểu ký tự, với 16 ký tự, 2 hàng (16characters x 2lines). LCD sử dụng ma trận chữ
5x8 (font 5x8), module điều khiển là HD44780. Tên của LCD này là DM1602B.
LCD : DM 1602B
Bảng : Chức năng của từng chân và ghép nối chi tiết LCD- 89C51 :
STT

hiệu
Nối với vi
xử lý
Mức logic Chức năng
1 Vss 0 V (Ground)
2 Vcc 5 V (Supply)
3 Vee điều khiển độ sáng tối cho LCD
4 RS

P3.5
H/L
H: chọn thanh ghi vào dữ liệu.
L: chọn thanh ghi cho lênh điều
khiển.
5 R/W H/L
chọn chế độ đọc viết:
H: cho biết đọc từ LCD vào VXL.
L: cho biết đọc từ VXL vào LCD.
6 E
P3.7
xung L-H-L
tín hiệu cho phép LCD hoạt
động.4bit
7 DB0 H/L
8 DB1 H/L
9 DB2 H/L
10 DB3 H/L
18
CHARACTER TYPE LCD MODULE
OD-DM 1602B 16charsX2lines
V
ss
V
dd
V
o
RS RW E
D
0

D
1
D
2
D
3
D
4
D
5
D
6
D
7
1 2 3 4 5 6
10 11987 12 13 14
15
16
LED
+
-
Khóa số hiển thị LCD
11 DB4
P3.0
H/L
12 DB5 P3.1 H/L
13 DB6 P3.2 H/L
14 DB7 P3.3 H/L
15 0 V (Ground)
16 5 V nguồn cấp cho đèn backline.

Điều khiển hoạt động của LCD:
Hoạt động của LCD được điều khiển thông qua 3 tín hiệu E, RS, RW.
-Tín hiệu E là tín hiệu cho phép gửi dữ liệu. Để gửi dữ liệu đến LCD, chương trình
phải thiết lập E=1, sau đó đặt các trạng thái điều khiển thích hợp lên RS, RW và bus dữ
liệu, cuối cùng là đưa E về 0. Hoạt động chuyển đổi từ cao-xuống-thấp cho phép LCD
nhận dữ liệu hiện thời trên các đường điều khiển cũng như trên bus dữ liệu và xem đó
như là một lệnh.
-Tín hiệu RS là tín hiệu cho phép chọn thanh ghi (Register Select ). Khi RS=0, dữ liệu
được coi như là một lệnh hay một chỉ thị đặc biệt (như là xóa màn hình, đặt vị trí con
trỏ…). Khi RS=1, dữ liệu được coi là dữ liệu dạng văn bản và sẽ được hiển thị trên màn
hình.
-Tín hiệu RW là tín hiệu “Đọc/Ghi”. Khi RW=1, thông tin trên bus dữ liệu được ghi vào
LCD. Khi RW=0, chương trình sẽ đọc LCD.
-Bus dữ liệu gồm 4 hoặc 8 đường tùy thuộc vào chế độ hoạt động mà người sử dụng lựa
chọn (ở đây chương trình sử dụng bus dữ liệu 4-bít).
Sơ lược phương pháp lập trinh LCD 4bit:
Trong chế độ 4-bit, dữ liệu được gửi trong nibbles, đầu tiên chúng ta gửi nibble
cao hơn và sau đó thấp hơn nibble. Để kích hoạt các chế độ 4-bit, LCD, chúng ta cần phải
làm theo chuỗi các initialization đặc biệt là cho LCD điều khiển mà người dùng đã lựa
chọn 4-bit, các chế độ hoạt động. Chúng ta gọi đây là chuỗi đặc biệt như là reset lại LCD.
Dưới đây là reset LCD.
1. Chờ khoảng 20mS
19
Khóa số hiển thị LCD
2. Gửi có giá trị init đầu tiên (0x30)
3. Chờ khoảng 10mS
4. Gửi giá trị init thứ hai (0x30)
5. Chờ khoảng 1mS
6. Gửi giá trị init thứ ba (0x30)
7. Chờ đợi các 1mS

8. Chọn độ rộng bus (0x30 - cho 8-bit và 0x20 cho 4-bit)
9. Chờ 1mS
Những cò bận sẽ chỉ có giá trị sau khi reset ở trên. Thông thường chúng ta không
sử dụng cò bận trong 4-bit, chế độ như chúng ta phải viết mã đọc cho hai nibbles đến
LCD. Thay vào đó, chúng ta chỉ cần đặt đô trễ thường là 300 đến 600uS. Độ trễ này có
thể khác nhau tuỳ thuộc vào hình LCD mà bạn đang sử dụng, vì bạn có thể có một tần số
thạch anh khác nhau mà trên đó hình LCD điều khiển đang chạy. Vì vậy, nó thực sự phụ
thuộc vào hình LCD mô-đun bạn đang sử dụng. Vì vậy, nếu cảm thấy bất kỳ vấn đề chạy
các hình LCD, chỉ cần cố gắng tăng cường sự chậm trễ. Điều này thường là các công
trình. Đối với tôi về 400uS các công trình hoàn hảo.
Trong là sơ đồ kết nối LCD trong 4-bit, chế độ, nơi mà chúng ta chỉ cần 6 pins vào
một giao diện LCD. D4-D7 là các kết nối dữ liệu pins và enable và registor được lựa
chọn để kiểm soát hình LCD pins. Chúng tôi không sử dụng pin Đọc / Ghi (RW) của
LCD, bởi vì chúng tôi chỉ được viết trên hình LCD, vì vậy chúng ta đã làm nó cứ vĩnh
viễn. Nếu muốn sử dụng nó sau đó bạn có thể kết nối nó vào điều khiển của bạn, nhưng
sẽ chỉ làm tăng số pin và không thực hiện bất kỳ sự khác biệt lớn. RV1 được sử dụng để
kiểm soát độ tương phản LCD. Các dữ liệu không mong muốn LCD pins D0-D3 có nghĩa
là được kết nối với đất
Chúng ta sẽ xem xét các bước sau để gửi dữ liệu / lệnh để hình LCD khi làm việc
trong chế độ 4bit 4.Như tôi đã giải thích trong chế độ 4-bit, dữ liệu được gửi nibble của
nibble, đầu tiên chúng ta gửi nibble cao hơn và sau đó nibble thấp. Điều này có nghĩa là
trong cả hai lệnh và chức năng gửi dữ liệu mà chúng tôi cần phải saperate 4-bit cao và 4-
bit thấp.
Phổ biến các bước sau:
1. Mask 4-bit thấp
2. Gửi tới port LCD
20
Khóa số hiển thị LCD
3. send tín hiệu enable
4. Mask 4-bit cao

5. Gửi tới port LCD
6. send tín hiệu enable

LCD : DM 1602B
:
2.4 Khối báo động:
Để đơn giản, tín hiệu điều khiển được đưa ra đèn led để chúng ta quan sát. Mở
rộng thiết kế khi thời gian nhiều hơn chúng em sẽ mắc rơle điều khiển động cơ
• Khi nhập đúng password thì vi điều khiển cho tín hiệu ra led xanh để mô
phỏng cửa mở
• Khi người sử dụng nhập mã sai 3 lần thì sẽ kích cho tín hiệu báo động mô
phỏng bằng led đỏ

Bit lock nối với p2.6 báo trạng thái cửa
21
CHARACTER TYPE LCD MODULE
OD-DM 1602B 16charsX2lines
V
ss
V
dd
V
o
RS RW E
D
0
D
1
D
2

D
3
D
4
D
5
D
6
D
7
1 2 3 4 5 6
10 11987 12 13 14
15
16
LED
+
-
Khóa số hiển thị LCD
Bit alarm nối với p2.7 báo động bằng đèn hoặc chuông

Chương 3:LƯU ĐỒ VÀ GIẢI THUẬT
3.1 Các ký hiệu trong lưu đồ:
lcd_port equ P3 đặt tên port 3
en equ P3.7 chân enable của lcd
rs equ P3.5 chân reset của lcd
lock equ P2.6 bit quy định đóng hay mở cửa lock
lock=1 đóng cửa lock=0mở cửa
alarm e qu p2.7 bit quy định báo động
LCD_CLR equ 01H ;mã lện xóa màn hình lcd
LCD_LN1 equ 80H ;mã lệnh chọn dòng 1 lcd

22
Khóa số hiển thị LCD
LCD_LN2 equ 0C0H ;mã lệnh chọn dòng 2 lcd
var1 equ r2 ;biến var thay đổi delay
temp equ r3 ;lưu tạm thời dữ liệu cần nhớ
delay equ r4 ;delay
scan equ r5 ;
key equ 0H ;bit kiểm tra có nhấn hay không
=1có =0không
newcode equ 1h ;bit kiểm tra có tạo pass mới chưa
=1có =0không
keyval equ r6 ;ô lưu mã phím khi nhập từ bàn phím
status equ r7 ;=true hoặc retry
codenum equ 30h ; lưu độ dài của pass
count equ 31h ; đếm số ký tự được nhập
codenum_1 equ 32h ; dung nhảy giảm đếm ký tự số
pass đển so sánh copy
retries equ 33H ;lưu số lần nhập sai mã
input equ 40H ;lưu dữ liệu phím nhấn sau khi mã hóa
upass equ 50H ;lưu pass
confirm equ 60h ;lưu pass kiểm tra chính xác
ok equ 2H ;đúng pass=1 sai=0 ;
TRUE equ 1 ;true
RETRY equ 2 ;retry
keyport equ P0 ;chon port 1 lam keypad
col1 equ P0.0 ;cột 1
col2 equ P0.1 ; 2
col3 qu P0.2 ; 3
col4 equ p0.3 ; 4
3.2 Main

s
23
Goi Ctc: checkcode
Dec retries
alarm
Retry1
=20s?
Delay250ms
ok=1?
open
LCD “nhap ma”
Nap Sp=70 Retries=3
codenum =0
Goi ctc get keypad Xóa key
Khoi tao LCD Setb lock =1
setb alarm
Start
Giam retries-1
Khóa số hiển thị LCD
d
d
s
d
d s
d
s
s
s
d
d

3.3 Chương trình con check code:
24
LCD: “dung ma ,mo khoa”
Lock=0 (cua mo)
LCD ”lan thu nua”
Main
Retry=0?
Ctc Tự đóng cửa
Ctc Get_Input
Gọi Ctc getkey
Key=enter?
LCD “vo hieu hoa”
Status=true?
Newcode=1??
Set Alarm=0
Goi Ctc: checkcode
Delay1minute
ok=1? Set Alarm=1
LCD “cua da dong”
Set Lock=1 retry=3
Goi Ctc setupass
Setb newcode=1
Ctc check code
Nap codenum1 =codenum
R0=input
R1=upass
Khóa số hiển thị LCD
s
d
s

d
3.4 Chương trình con copy code:
25
Clear
Inc r0
Lcd hien so
Nap dl tu @r0 vao A
Nap dl tu @r1 vao B
a=b?
R0 +1
R1 +1
Giam codenum1 -1
Codenum1=0?
set ok=1
Clear ok=0
ret
Ctc copycode

×