Tải bản đầy đủ (.pdf) (19 trang)

Bài tập kỹ thuật số có lời giải BK TP.HCM

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (937.05 KB, 19 trang )

ĐHBK Tp HCM–Khoa ĐĐT–BMĐT
Môn học: Kỹ thuật số – AY1516-S1
GVPT: Hồ Trung Mỹ
Bài tập giải sẵn về hệ tổ hợp và hệ tuần tự
1) Cho trước hàm F(A, B, C, D) = AC + BC + AC’D
a) Tìm rút gọn dạng SOP và POS của F.
b) Cài đặt hàm F bằng bộ dồn kênh 8 sang 1.
c) Cài đặt hàm F bằng bộ dồn kênh 4 sang 1.
BG.
a) Rút gọn dạng SOP và POS của F:
Rút gọn dạng SOP

Rút gọn dạng POS

F(A, B, C, D) = AC + AD + BC

F(A, B, C, D) = (A+B) (A+C) (C+D)

b) Cài đặt hàm F bằng MUX 8 sang 1:
Tìm các giá trị tại các ngõ vào MUX khi
đưa A, B, C vào ngõ chọn S2,S1,S0.

Mạch cài đặt

Cài đặt hàm F bằng MUX 4 sang 1:
Nếu đưa A và B vào các ngõ chọn S1 và S0 tương ứng thì theo bảng K của F ta tìm
được các biểu thức vào: I0 = 0;
I1 = C;
I2 = I3 = C + D

2) Cho trước mạch sau:


a) Viết F với dạng biểu thức Boole và dạng chính tắc 1.
b) Cài đặt hàm F bằng mạch dồn kênh 2 sang 1.
c) Cài đặt hàm F bằng mạch giải mã 3 sang 8.

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 1/19


BG.
a) F(A, B, C)

= A’B’I0 + A’BI1 + AB’I2 + ABI3
= A’B’C + A’BC + AB’.0 + AB.1
= A’C + AB = m(1, 3, 6, 7)
b) Dùng mạch dồn kênh 2 sang 1
c) Dùng mạch giải mã 3 sang 8
Ngõ ra mạch dồn kênh 2 sang 1 là:
Theo dạng chính tắc 1 ta có:
Y = S’I0 + SI1
So sánh với F ta sẽ đưa A vào S, C vào
I0 và B vào I1 như mạch sau:

3) Thiết kế mạch tổ hợp sau chỉ dùng 1 bộ cộng toàn phần 4 bit, 2 bộ dồn kênh 4 sang 1
(nguồn dữ liệu là 4 bit) và một số cổng NOT:
S1S0
Cin = 0
Cin = 1
00 F = A + B
F=A+B+1
01 F = A
F=A+1

10 F = B’ (bù 1 của B) F = B’ + 1 (bù 2 của B)
11 F = A + B’
F = A + B’ + 1
Với A, B, và F là các số nhị phân 4 bit.
BG.
Chú ý: B’ trong mạch có được là lấy bù từng bit 1 của B bằng cổng NOT.

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 2/19


4) Cho trước mạch tổ hợp ở hình bên phải dùng mạch cộng toàn phần 4 bit, cổng NOT 3
trạng thái. và các cổng đệm 3 trạng thái.
a) Để SUM = A + B, ta cần đưa vào w, x,
y, z và Ci các giá trị gì?
ĐS.
w = z = 0; x = y = 1; Ci = 0
b) Để SUM = –A + B, ta cần đưa vào w,
x, y, z và Ci các giá trị gì?
ĐS.
x = z = 0; w = y = 1; Ci = 1

5) Hãy biểu thức Boole của mạch sau (được xây dựng từ các MUX 2 sang 1):
BG.

 Biểu thức Boole cho ngõ chọn
của các MUX tầng sau là:
S = 1 khi C=0 và B=0  S = B’C’
 Bộ MUX tâng sau:
F = 1 khi S = 0 và A = 0 hoặc
khi S = 1 và A = 1

 F(A, B, C) = A’S’ + AS
F
= A’ (B’C’)’ + AB’C’
= A  (B’C’) hoặc
= A’B + A’C + AB’C’
= m(1, 2, 3, 4)

6) Cài đặt hàm Boole F(A, B, C, D) = AC’D’ + B’D
a) Chỉ bằng 1mạch MUX 4 sang 1.
b) Chỉ bằng 2 mạch MUX 2 sang 1.
BG.
Nhận xét:
 Với MUX 4 sang 1, nếu dùng C
và D đưa vào các ngõ chọn S1
và S0, ta có thể viết lại F như
sau:
F = AC’D’ + B’D
= AC’D’ + B’C’D + B’CD
= C’D’.A + C’D.B’ + CD’.0 + CD.B’
 I0 = A, I1 = B’, I2 = 0, và I3= B’


a) Với MUX 41

b) Với 2 MUX 2  1

Với MUX 2 sang 1 thứ nhất ta
dùng D cho ngõ chọn S , MUX
thứ hai ta dùng C cho ngõ chọn
S:

 MUX 1: Y1 = D’.AC’ + D.B’
 MUX 2: Y2 = C’.A + C.0

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 3/19


7) Thiết kế mạch đổi số nhị phân 4 bit sang biểu diễn BCD chỉ bằng mạch cộng toàn phần
4 bit và 1 mạch so sánh 4 bit (với các ngõ ra A < B, A = B, và A > B).
BG.
Gọi số nhị phân 4 bit là X = X3X2X1X0 và biểu diễn BCD là Y = Y7Y6Y5Y4 Y3Y2Y1Y0thì
X = 00002 = 0 biểu diễn BCD là Y = 0000 00002
X = 00012 = 1 biểu diễn BCD là Y = 0000 00012
...
X = 10012 = 9 biểu diễn BCD là Y = 0000 10012
X = 10102 = 10 biểu diễn BCD là Y = 0001 00002 = X + 01102
...
X = 11112 = 15 biểu diễn BCD là Y = 0001 01012 = X + 01102
Như vậy nếu X > 9 thì Y = X + 6, ngược lại Y = X + 0. Từ đó ta có mạch như sau:

8) Thiết kế mạch nhân 2 số nhị phân 3 bit biểu diễn số có dấu theo dạng độ lớn với dấu.
BG.
Gọi 2 số nhị phân 3 bit là A = A2A1A0 và B = B2B1B0, tích số của chúng là số nhị
phân 5 bit P = P4P3P2P1P0 với MSB là dấu và 4 bit còn lại là độ lớn. Như vậy MSB
của tích số là P4 = A2  B2 (vì cùng dấu là dương [0] và khác dấu âm [1]).
Bài toán nhân
Mạch thực hiện

X
P3


A1B1
P2

A1
B1
A1B0
A0B1
P1

A0
B0
A0B0
P0


P4 = A2  B2
9) Thiết kế mạch tổ hợp thực hiện phép tính 3X + 1 với X là số nhị phân 2 bit (X1X0) chỉ
bằng 1 HA và 1 cổng NOT.
BG.
X Y
X1 X0 Y3 Y2 Y1 Y0
0 1
0 0 0 0 0 1
1 4
0 1 0 1 0 0
2 7
1 0 0 1 1 1
3 10
1 1 1 0 1 0
NX: Y3 = X1X0; Y2 = X1X0; Y1 = X1; và Y0 = X0’

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 4/19


10) Cho các hàm Boole sau:
F(X, Y, Z) = X’Z’ + XZ + Y’Z + X’YZ
G(X, Y, Z) = X’Z + X’Y + YZ + X’Y’Z’

Cài đặt 2 hàm trên chỉ bằng 1 mạch giải mã 3 sang 8 (74LS138) và 2 cổng AND có 2 ngõ
vào.
BG.
Dạng chính tắc của F
Dạng chính tắc của G
Mạch cài đặt
YZ
F 00 01 11 10
X 0 1 1 1 1
1 0 1 1 0
F(X, Y, Z) = M(4, 6)

YZ
G 00
X 0 1
1 0

01 11 10
1 1 1
0 1 0

G(X, Y, Z) = M(4, 5, 6)


11) Thiết kế bộ cộng tồn phần FA có 3 ngõ vào A, B, và Cin (số nhớ vào) và 2 ngõ ra S
(tổng) và C (số nhớ) bằng mạch giải mã 3 sang 8 và các cổng OR.
BG.
Dạng chính tắc của S

Dạng chính tắc của C

BCin
S 00 01 11 10
A 0
1
1
1 1
1

BCin
G 00 01 11 10
A 0
1
1
1 1 1

S = m(1, 2, 4, 7)

C = m(3, 5, 6, 7)

Mạch cài đặt

12) Cài đặt hàm Boole F(A, B, C, D) = m(1, 7, 11, 13) chỉ bằng một mạch giải mã 3 sang
8 74LS138 và 1 cổng NAND có 4 ngõ vào.

BG.
Nhận xét:
F(A, B, C, D) = m(1, 7, 11, 13) = A’B’C’D + A’BCD + AB’CD + ABC’D
= D . G(A, B, C) = G(A, B, C) . D
Với
G(A, B, C) = A’B’C’ + A’BC + AB’C + ABC’ = m(0, 3, 5, 6) = (M(1, 2, 4, 7))’
Ngoài ra các phương trình ngõ ra bên trong của 138 là: Qi = G1.G2A.G2B.mi
với mi là minterm thứ i từ bộ ba ngõ vào A, B, và C. Như vậy đưa D vào G1, 0 vào
G2A’ và G2B’ (nghĩa là G2A = G2B = 1), khi đó Qi = mi.D và ta có mạch sau:

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 5/19


13) Hãy vẽ dạng sóng ngõ ra Q của các mạch chốt và flipflop (FF) sau:
Mạch
Giản đồ định thì
Chốt D

D FF

JK FF

T FF

14) Một flip-flop M-N hoạt động như sau khi có cạnh lên tại ngõ vào xung nhịp CK:
 MN = 11 thì ngõ ra Q = 0.
 MN = 01 thì ngõ ra Q = 1.
 MN = 10 thì ngõ ra Q khơng thay đổi trạng thái.
 MN = 00 thì ngõ ra Q sẽ là đảo của trạng thái trước đó.
a) Tìm phương trình đặc tính của flip-flop này và bảng kích của nó.

b) Dùng flip-flop này để thiết kế mạch đếm lên 2 bit theo mã Gray.
c) Cài đặt flip-flop này dùng: D flip-flop, và JK flip-flop.
BG.
a) Tìm phương trình đặc tính
MN
+
Q
00 01 11 10
Q 0 1 1 0 0
1 0 1 0 1
Q+ = M’Q + M’NQ + MN’Q = M’NQ + (MN)Q

Bảng đặc tính của MN flip-flop:
Q Q+ M N
0 0
1 X
0 1
0 X
1 0
X 1
1 1
0 1 (hoặc MN = 10)

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 6/19


b) Thiết kế mạch đếm lên 2 bit theo mã Gray bằng M-N flip flop:
Bảng hoạt động của bộ đếm:
Các phương trình của các ngõ vào MN F/F:
+

+
(chọn MN = 01 khi QQ+ = 11)
Q1Q0 Q1 Q0 M1N1
M 0N 0
 M1 = Q1’Q0’ + Q1Q0’ = Q0’
00
01
1X
0X
01
11
0X
01/10
 N1 = 1
10
00
X1
1X
 M0 = Q1Q0’ + Q1Q0 = Q1
11
10
01/10 X1
 N0 = 1
Sơ đồ mạch:

c) Cài đặt M-N flip flop bằng:
D F/F: Với D F/F thì D = Q+, do đó chỉ cần cho D = M’NQ + (MN)Q
JK F/F: Với JK F/F thì Q+ = JQ’ + K’Q = M’NQ + (MN)Q
J = Q+(Q=0) = 0
K’ = Q+(Q=1) = M’N + (MN)

do đó chỉ cần cho J = 0 và K = (M’N + (MN))’

15) Cho trước 1 máy trạng thái đồng bộ (FSM) có 1 ngõ vào X, 1 ngõ ra Z, và ngõ xung
nhịp CLK kích cạnh lên. FSM này có nhiệm vụ phát hiện chuỗi vào khi bằng 1 mẫu qui
định trước thì ngõ ra Z = 1, và nó có bảng trạng thái sau:
PS

NS/Z
X=0

X =1

A

B/0

A/0

B

C/1

B/1

C

D/0

C/0


D

E/1

D//0

E

F/0

E/0

a) Suy ra giản đồ trạng thái của FSM này.
b) Giả sử trạng thái đầu của FSM này là A. Nếu chuỗi bit vào là 1010 thì chuỗi bit ra là
gì?
c) Giả sử trạng thái đầu của FSM này là E. Nếu chuỗi bit vào là 0110 thì chuỗi bit ra là
gì?
d) Nếu trạng thái đầu là A. Hãy tìm chuỗi bit ngắn nhất mà FSM có thể phát hiện.
e) Muốn phát hiện chuỗi bit 110 thì FSM nên bắt đầu từ trạng thái nào?
BG.
KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 7/19


a) Giản đồ trạng thái

b) Nếu bắt đầu từ A
X=1010
Z=0001
c) Nếu bắt đầu từ E
X=0110

Z=0001
d) Nếu bắt đầu từ A, chuỗi ngắn nhất mà
FSM này có thể phát hiện là 00.
e) Nếu muốn phát hiện chuỗi bit 110 thì
ta cho FSM bắt đầu từ D.

16) Xét 1 máy trạng thái đồng bộ sau với ngõ vào là X và ngõ ra là Z.

ĐS.

a) Suy ra các phương trình Boole cho D1, D2 và Z.
D1= Q1+ = Q1’Q2
D2 = Q2+ = X + Q2’
Z = Q1 + Q2’

b) Suy ra bảng chuyển trạng thái và vẽ giản đồ trạng thái.
ĐS.
Bảng trạng thái

Giản đồ trạng thái

PS
Input
NS
Output
Q1 Q2
X
Q 1+ Q 2+
Z
0 0

0
0
1
1
0 0
1
0
1
1
0 1
0
1
0
0
0 1
1
1
1
0
1 0
0
0
1
1
1 0
1
0
1
1
1 1

0
0
0
1
1 1
1
0
1
1

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 8/19


17) Thiết kế bộ đếm lên theo mã Gray 3 bit bằng
a) D FF với xung nhịp kích cạnh lên
b) JK FF với xung nhịp kích cạnh lên
c) T FF với xung nhịp kích cạnh lên
BG.
Bảng trạng thái của bộ đếm cần thiết kế : (PS = trạng thái hiện tại và NS = trạng
thái kế)
Q2
0
0
0
0
1
1
1
1


PS
NS
+
Q 1 Q 0 Q 2 Q 1+ Q 0+
0 0
0
0
1
0 1
0
1
1
1 1
0
1
0
1 0
1
1
0
1 0
1
1
1
1 1
1
0
1
0 1
1

0
0
0 0
0
0
0

a) Phương trình của các ngõ vào D FF (D = Q+)
Q 1Q 0
Q 1Q 0
D2 00 01 11 10
D1 00 01 11 10
Q2 0 0 0 0 1
Q2 0 0 1 1 1
1 0 1 1 1
1 0 0 0 1
D2 = Q2Q0 + Q1Q0’

D1 = Q2’Q0 + Q1Q0’

Q 1Q 0
D0 00 01 11 10
Q2 0 1 1 0 0
1 0 0 1 1
D0 = Q2’Q1’ + Q2Q1

Phương trình của các ngõ vào JK FF ( Q+= JQ’ + K’Q), dùng luôn bảng K của a)
J1 = Q2’Q0
J0 = Q2’Q1’ + Q2Q1
J2 = Q1Q0’

K0 = Q2’Q1’ + Q2Q1
K2 = Q1’Q0’
K1 = Q2Q0
Phương trình của các ngõ vào T FF (T = QQ+)
Q 1Q 0
Q 1Q 0
T2 00 01 11 10
T1
00 01 11 10
Q2 0 0 0 0 1
Q2 0 0 1 0 0
1 1 0 0 0
1 0 0 1 0

Q 1Q 0
T0
00 01 11 10
Q2 0 1 0 1 0
1 0 1 0 1

 T2 = Q2Q1’Q0’+ Q2’Q1Q0’ = Q0’(Q1 Q2)
 T1 = Q2’Q1’Q0 + Q2Q1Q0 = Q0 (Q1 Q2)’
T0 = Q2’Q1’Q0’ + Q2’Q1Q0 + Q2Q1’Q0 + Q2Q1Q0’ = Q0  Q1 Q2

Chú ý : SV tự vẽ các mạch để mô phỏng kiểm tra lại TK.

18) Thiết kế bộ đếm theo chuỗi sau: 101, 100, 011, 010, 001, 000, 101, . . . bằng D FF.
BG.
Ta có bảng trạng thái và bảng Karnaugh để rút gọn tìm phương trình của các ngõ
vào D flip flop như sau:

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 9/19


Q2
0
0
0
0
1
1
1
1

Q1Q0
D2 00 01 11 10
Q2 0 1 0 0 0
1 0 1 X X

PS
NS
+
Q 1 Q 0 Q 2 Q 1+ Q 0+
0 0
1
0
1
0 1
0
0
0

1 0
0
0
1
1 1
0
1
0
0 0
0
1
1
0 1
1
0
0
1 0
X
X
X
1 1
X
X
X

Q1Q0
D1
00 01 11 10
Q2 0 0 0 1 0
1 1 0 X X


D2 = Q2’Q1’Q0’ + Q2Q0

Q1Q0
D0
00 01 11 10
Q2 0 1 0 0 1
1 1 0 X X

D1 = Q2Q0’ + Q1Q0

D0 = Q0’

Chú ý : SV tự vẽ các mạch để mô phỏng kiểm tra lại TK.

19) Một hệ tuần tự đồng bộ có giản đồ trạng thái cho trước như ở
hình bên. Hệ này có 1 ngõ vào X và 1 ngõ ra Y. Thiết kế hệ này
dùng;
a) D FF kích cạnh lên.
b) JK FF kích cạnh lên.
c) T FF kích cạnh lên.
BG.
Trước hết ta sẽ lập bảng chuyển trạng thái tương ứng từ giản
đồ trạng thái:
Giản đồ trạng thái

Bảng chuyển trạng thái
PS
AB


NS (A+B+)

Output Y

X=0 X=1 X=0 X=1

00

00

01

0

0

01

00

10

0

0

10

00


11

0

0

11

11

00

1

0

Phương trình ngõ ra Y = ABX’
a) Dùng D FF:
KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 10/19


AB
00 01 11 10

DB

AB
00 01 11 10

0


0

0

1

0

X 0

0

0

1

0

1

0

1

0

1

1


1

1

0

1

DA
X

DA = A+ = X’AB + XA’B + XAB’
= X’AB + X(AB)

DB = B+ = XA’ + XB’ + X’AB
= X(AB)

b) Dùng JK FF: Ta dùng luôn bảng K của a)
JB = X
JA = XB
KA’ = X’B + XB’ = XB
KB’ = XA  KB = (XA)’
 KA = (XB)’
c) Dùng T FF:
AB
TA
00 01 11 10

AB

00 01 11 10

TB

X 0

0

0

0

1

X 0

0

1

0

0

1

0

1


1

0

1

1

0

1

1

 TA = XB + X’AB’
 TB = XB’ + XA + X’A’B = X(A’B)

20) Cho trước sơ đồ kết nối PLA sau:

a) Lập bảng PLA cho hệ thống này.
b) Viết các phương trình của F1, F2, và F3.
c) Nếu thay PLA bằng ROM thì cần ROM kích thước bao nhiêu.
BG.
Số hạng
tích
C
A’BD’
AB’CD
A’BC’D
A’BC’


A

0
1
0
0

Bảng PLA
Vào
B C D F1

1

1


0
1
0
1
1

1
0
1
1
1
0




Ra
F2

1




F3
1

1



b) Các phương trình của ngõ ra
F1 = C + A’BD’ + A’BC’D + A’BC’
F2 = A’BD’ + A’BC’
F3 = C + AB’CD + A’BC’

c) Vì hệ này có 4 đường vào và 3 đường ra như vậy cần ROM có 4 đường địa chỉ
và 3 đường dữ liệu hay ROM có tổ chức 24 x 3.
KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 11/19


21) Dùng PLA 3x4x2 (3 ngõ vào, 4 số hạng tích, và 2 ngõ ra) để cài đặt (hay hiện thực) 2
hàm Boole sau: F1 = X’Y’Z + XY’ + X’YZ’ và
F2 = X’YZ’ + XY + X’Z’ + X’Y’

BG.
Ta lập bảng K và rút gọn F theo SOP (gom các số 1) và theo bù SOP (gom các 0):
YZ
YZ
F1
00 01 11 10
F2
00 01 11 10
X 0

0

1

0

1

X 0

1

1

0

1

1


1

1

0

0

1

0

0

1

1

F1 = XY’ + Y’Z + X’YZ’
F1’ = YZ + XY + X’Y’Z’

F2 = X’Y’ + X’Z’ + XY
F2’ = XY’ + X’YZ

Vì chỉ có 4 số hạng tích, do đó ta chỉ có thể dùng dạng F1 và F2’ có chung số hạng
tích XY’.
Dạng sơ đồ mạch
hoặc Dạng bảng PLA
Số
hạng

tích
XY’
Y’Z
X’YZ’
X’YZ

Vào
X Y Z

Ra
F1 F2

1

0
0

1
1
1

T

0
0
1
1


1

0
1

22) Thiết kế hệ tuần tự đồng bộ theo máy Moore có 2 biến vào X và Y, và 1 biến ra Z.
Bảng hoạt động của hệ này như sau: (xuất phát từ trạng thái S0)
XY

Hoạt động

00
01
10
11

Quay về trạng thái đầu S0
Z = 1 trong 1 chu kỳ clock và quay về trạng thái S0
Z = 1 trong 2 chu kỳ clock và quay về trạng thái S0
Z = 1 trong 3 chu kỳ clock và quay về trạng thái S0

a) Vẽ giản đồ trạng thái của hệ này và lập bảng trạng thái của nó.
b) Cài đặt bảng trạng thái bằng D F/F.
KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 12/19

1


1
C



BG.
a) Giản đồ trạng thái

Bảng chuyển trạng thái
NS (A+B+)

PS

Gán trạng thái S0=00, S1=01,S2=10 và S3=11

AB XY=00 01

10

11

Ra
Z

00

00

11

10

01

0


01

10

10

10

10

1

10

11

11

11

11

1

11

00

00


00

00

1

Phương trình ngõ ra Z = A + B
b) Cài đặt bằng D flip flop:
A+
AB 00
01
11
10

XY
00 01 11 10
0
1
0
1
1
1
1
1
0
0
0
0
1

1
1
1

B+
AB 00
01
11
10

DA = A+ = A’B + AB’ + A’X’Y + A’XY’
DA = A  B + A’ (XY)
 SV tự vẽ mạch.

XY
00 01 11 10
0
1
1
0
0
0
0
0
0
0
0
0
1
1

1
1

DB = B+ = AB’ + B’Y = B’(A+Y)

23) Thiết kế bộ đếm 3 bit theo dãy số sau: 001, 011, 010, 110, 101, 100, 001
Dùng D flip-flop với clock kích cạnh lên và vẽ giản đồ trạng thái của bộ đếm này để xem
có tự sửa sai khơng?
BG.
Bảng trạng thái

Q2
0
0
0
0
1
1
1
1

PS
NS
Q 1 Q 0 Q 2+ Q 1+ Q 0+
0 0
X
X
X
0 1
0

1
1
1 0
1
1
0
1 1
0
1
0
0 0
0
0
1
0 1
1
0
0
1 0
1
0
1
1 1
X
X
X

Q 1Q 0
Q2 00 01 11 10
Q2 0 X 0 0 1

1 0 1 X 1
D2 = Q1Q0’ + Q2’Q0
+

Giản đồ trạng thái

Q 1Q 0
Q1 00 01 11 10
Q2 0 X 1 1 1
1 0 0 X 0
D1 = Q2’
+

Q 1Q 0
Q0 00 01 11 10
Q2 0 X 1 0 0
1 1 0 X 1
D0 = Q2’Q1’ + Q2Q0’
+

Ghi rút gọn các bảng K có gom X thì sẽ thành 1 trong bảng trạng thái, nghĩa là 000
 011 và 111  100, do đó hệ tự sửa sai khi trạng thái đầu khơng phải 001.
KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 13/19


24) Thiết kế FSM Mealy đồng bộ có 1 ngõ vào X và 1 ngõ ra Z có chức năng phát hiện
chuỗi bit vào có trị số 0101. Giả sử cho phép các chuỗi bit vào có thể phủ lắp nhau.
a) Vẽ giản đồ trạng thái.
b) Cài đặt nó bằng các JK flip-flop và các cổng logic.
c) Vẽ giản đồ trạng thái với FSM kiểu Moore.

d) Vẽ lại giản đồ trạng thái FSM Mealy khi không cho phép phủ lắp các chuỗi bit vào.
BG.
a) Lập giản đồ trạng thái
Chú ý: Các trạng thái
S0: chưa nhận được bit
đầu tiên trong chuỗi
S1: đã nhận được 0
S2: đã nhận được 01
S3: đã nhận được 010
Lập bảng trạng thái và tìm các phương trình ngõ vào cho các JK flip flop:
Các bảng K
Ta gán trạng thái S0 = 00, S1 = 01,
S2 =11, và S3 = 10. Khi đó có bảng
AB
trạng thái sau:
A+ 00 01 11 10
+ +
JA = XB
PS
NS (A B )
Output Z
X0 0 0 1 0
KA = X’B+XB’=XB
AB X = 0 X = 1 X = 0 X = 1
1 0 1 0 1
00
01
00
0
0

AB
01
00
11
0
0
B+ 00 01 11 10
11
10
00
0
0
X0 1 0 0 1
JB = X’ + A = (XA’)’
10
01
11
0
1
K
1 0 1 0 1
B = X’ + A = (XA’)’
Ngõ ra Z = AB’X
Chú ý:
 SV tự vẽ mạch.
 Nếu gán trạng thái khác thì các phương trình ngõ vào JK sẽ khác.
b) Giản đồ trạng thái với FSM kiểu Moore.

c) Giản đồ trạng thái kiểu Mealy khi không cho phép phủ lắp các chuỗi bit vào:


KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 14/19


25) Cho trước giản đồ trạng thái của 1 FSM có 2 ngõ vào X1, X2 và 2 ngõ ra Z1, Z2. Hãy
vẽ lưu đồ ASM của FSM này. (từ sách LCFD 4E của Morris Mano)
Lưu đồ ASM của giản đồ bên trái:

26) Cho trước lưu đồ ASM của 1 FSM đồng bộ như sau:
a) Tìm đáp ứng của hệ này với:
A:
0 1 1 0 1 1 0 1
B:
1 1 0 1 0 1 0 1
C:
0 1 0 1 0 1 0 1
State: S0
Z:
b) Cài đặt lưu đồ ASM này bằng PLA và
các D F/F có clock kích cạnh lên.

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 15/19


BG.
a) Đáp ứng của hệ này:

A:
B:
C:
State:

Z:

0
1
0
S0
0

1
1
1
S0
0

1
0
0
S1
0

0
1
1
S2
1

1
0
0
S0

0

1
1
1
S1
0

0
0
0
S2
1

1
1
1
S0
0

b) Cài đặt lưu đồ ASM này bằng PLA và các D F/F có clock kích cạnh lên
Nếu đặt tên các biến trạng thái là Q1 và Q0 (Q0 là LSB), dựa theo lưu đồ ASM ta có
thể tìm ra phương trình Boole cho biến ra và các ngõ vào của D F/F ( là các biến
trạng thái).
 Phương trình biến ra Z:
Z=1
khi hệ ở trạng thái S1 (Q1Q0 =01) và B = 1 hoặc
khi hệ ở trạng thái S2 (Q1Q0 =10)
Suy ra: Z  Q1Q0 B  Q1Q0
Nếu kể trạng thái không sử dụng (Q1Q0 = 11) cho trạng thái kế là “X” thì

Z = Q1’Q0B + Q1Q0’ + Q1Q0 = Q1’Q0B + Q1
Z = Q0B + Q1
 Phương trình các ngõ vào kích D F/F:
 D1 = Q1+ = 1 khi hệ ở trạng thái S1 (Q1Q0 =01) và B = 0 hoặc
khi hệ ở trạng thái S1 (Q1Q0 =01) và B = 1 và C = 1
Suy ra: D1 = Q1’Q0B’ + Q1’Q0BC = Q1’Q0(B’+BC) = Q1’Q0(B’+C)
Nếu kể trạng thái không sử dụng (Q1Q0 = 11) thì:
D1 = Q1’Q0(B’+C) + Q1Q0(B’+C) = Q0(B’+C)
 D0 = Q0+ = 1 khi hệ ở trạng thái S0 (Q1Q0 =00) và A = 1
Suy ra: D0 = Q1’Q0’A
 Như vậy PLA sẽ thực hiện các hàm Boole sau:
Z = Q0B + Q1
D1 = Q0(B’+C)
D0 = Q1’Q0’A
Sơ đồ mạch

Bảng PLA
A




1

Các ngõ vào
B
C Q 1 Q0
1



1


1

0


1

1

1


0
0

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 16/19

Các ngõ ra
Z D1 D 0
1


1



1



1



1


27) Rút gọn bảng trang thái sau:
PS

Bảng 1
NS

Z

PS

Bảng 2
NS
X=0 X=1

Z

X=0

1

X=0


1

S0

S1

S4

0

0

A

B

C

0

S1

S2

S1

0

0


B

D

E

0

S2

S1

S6

0

0

C

F

G

0

S3

S1


S3

0

0

D

A

A

0

S4

S5

S4

0

0

E

A

A


1

S5

S2

S1

0

0

F

A

A

0

S6

S5

S3

0

1


G

A

A

1

PS

Bảng 3
NS

Z

X=0

1

X=0

1

S0

S4

S1


0

1

S1

S2

S3

1

0

S2

S5

S0

1

0

S3

S4

S5


0

1

S4

S2

S5

1

0

S5

S1

S3

1

0

BG.
Nhận xét:
 Ở bảng 1, ta thấy các trạng thái tương đương là
S1  S5

S0  S4

 Ở bảng 2 ta thấy các trạng thái tương đương là
DF,
EG

BC
 Ở bảng 2 ta thấy các trạng thái có thể tương đương là
{S0, S3}
{S1, S2, S5}
{S4}
S0  S3
chỉ khi S1  S5
S1  S2
chỉ khi S2  S5 và S0  S3
S1  S5
chỉ khi S1  S2
S2  S5
chỉ khi S1  S5 và S0  S3
Như vậy S0  S3 và S1  S2  S5
 Ta có các bảng trạng thái rút gọn như sau:
PS

Bảng 1
NS

Z

X=0

1


X=0

1

S0

S1

S0

0

0

S1

S2

S1

0

S2

S1

S6

S3


S1

S6

S1

PS

Bảng 2
NS

Z

PS

X=0

1

A

B

B

0

0

B


D

E

0

0

D

A

S3

0

0

E

A

S3

0

1

Bảng 3

NS

Z

X=0

1

X=0

1

S0

S4

S1

0

1

0

S1

S1

S0


1

0

A

0

S4

S1

S1

1

0

A

1

28) Cho trước giản đồ trạng thái sau: (biến vào X và biến ra là Z)
a) Lập bảng trạng thái từ giản đồ này.
b) Rút gọn bảng trạng thái và vẽ lại giản
đồ trạng thái.

BG.
KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 17/19



Bảng trạng thái ban đầu
PS

NS

Bảng trạng thái rút gọn

Z

X=0

1

X=0

1

S0

S3

S1

1

0

S1


S4

S2

1

S2

S5

S2

S3

S0

S4
S5

NS

PS

Giản đồ trạng thái

Z

X=0

1


X=0

1

S0

S1

S1

1

0

1

S1

S0

S2

1

1

0

1


S2

S0

S2

0

1

S2

1

1

S1

S3

1

0

S1

S3

1


0

Nhận xét các trạng thái tương đương và có thề tương đương:
S4  S5
{S1, S3} {S0, S4} {S2}
S1  S3
chỉ khi S0  S4
S0  S4
chỉ khi S1  S3
Suy ra: S0  S4  S5 và S1  S3

29) Cho trước giản đồ định thì của một FSM đồng bộ:
CLK 

  

  

State 

  

  

S0 

  

  


S2 

  

  

S0 



  

  

  

  

  

  

Z1 

  

  

  


  

  

  

Z2 

  

  

  

  

  

  

  
S1 

  
  
  

  


S1 

  
  

  

  

  
  

     

  
  

  

  

S0 

  

  

S2 

  

S0 

  

  

  

  

  

  

  

  

  

  

  

  

  

  


     

  

  

  

  

  

  

FSM này hoạt động theo cạnh lên của CLK và 1 ngõ vào X, 2 ngõ ra Z1 và Z2.
BG.

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 18/19

  


30) Xét hệ tuần tự đồng bộ sau:

a) Hoàn tất giản đồ định thì sau:
CLK 

  

  


  

  

  

  

  

  

  

  

  



  

  

  

  

  


  

  

  

  

  

  



  

  

  

  

  

  

  

  


  

  

  



  

  

  

  

  

  

  

  

  

  

  


b) Từ a) suy ra chuỗi đếm (CBA) của bộ đếm này.
c) Có nhận xét vì về chuỗi đếm của BA? Không dùng JK F/F và dùng thêm 1 cổng
AND để tạo ra cùng giản đồ định thì ở a).
BG.
a) Giản đồ định thì của hệ này:
CLK 

  

  

  

  

  

  

  



  

  

  


  

  

  

  



  

  

  

  

  

  

  



  

  


  

  

  

  

  

  

  
  

  

  

  

   

  

  

  

  


  

  

  

  

b) Dãy đếm của bộ đếm này là CBA = 000, 001, 010, 111, 000, . . .
c) Nếu chỉ xét BA ta thấy có chuỗi đếm sau: 00, 01, 10, 11, 00 đây là bộ đếm lên
2 bit. Khi đó có thể xem hệ này được thiết kế bằng bộ đếm lên 2 bit với ngõ
ra là C = 1 ở trạng thái BA = 11 hay C = AB. Từ đó ta có mạch sau:

KTS–Các BT giải sẵn về hệ tổ hợp và hệ tuần tự – trang 19/19



×