Tải bản đầy đủ (.pdf) (5 trang)

121 kts thi đề

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (281.9 KB, 5 trang )

ĐH BÁCH KHOA TP.HCM * KHOA ĐIỆN - ĐIỆN TỬ * BỘ MƠN ĐIỆN TỬ
Điểm

Chữ kí giám thị

ĐỀ THI HK1 (2012-2013)
Mơn: Kỹ thuật số

Thời gian: 110 phút

(SINH VIÊN KHƠNG ĐƯỢC SỬ DỤNG TÀI LIỆU)
HỌ TÊN: ………………………………………. MSSV: ………………… NHÓM: ………..
SINH VIÊN LÀM BÀI NGAY TRÊN ĐỀ THI - ĐỀ THI CÓ 5 TRANG
Câu 1 (1,5 điểm)
Cho bộ đếm song song 2 bit Q1 và Q0 (LSB); bộ đếm có 1 ngõ vào điều khiển X như
hình vẽ. Hãy xác định dãy đếm của bộ đếm và cho biết ý nghĩa của ngõ vào X.
Q0 (lsb)

Q1

X

D1

Q1

D0

Q0

CK1



Q1

CK0

Q0

CK

1


Câu 2 (3,0 điểm)
a. Sử dụng T-FF (kích cạnh lên) và PLA, thiết kế hệ tuần tự (gồm 1 ngõ vào X và 1 ngõ
ra Z) có giản đồ trạng thái như hình vẽ
X=1

D
0

0
0

C
1

1
1

B

0

1

A
0

Q1
Q0 0

1

0

A

B

1

D

C

0

0

Bảng gán trạng thái


b. Hồn tất giản đồ định thì (giản đồ xung) của hệ tuần tự
CK
X
Q1
Q0
Z
2


Câu 3 (1,0 điểm)
Cho Flip-flop như hình vẽ:
X1

Bảng hoạt động

Q

X1
0
0
1
1

CK
X2

Q

X2
0

1
0
1

Q+
1
Q
0
Q

a. Xác định phương trình đặc tính của Flip-flop: Q+

b. Với ngõ vào X1 = 0 và X2 = 1 thì Flip-flop có tính chất gì? Giải thích ngắn gọn

Câu 4 (1,0 điểm)
Một hệ tuần tự kiểu Moore có một ngõ vào X và một ngõ ra Z. Ngõ ra Z là 1 khi và chỉ
khi ngõ vào X nhận được 2 bit liên tiếp khác nhau. Hãy trình bày cách thiết lập bảng chuyển
thái và rút gọn bảng trạng thái.
Trạng thái

Ý nghĩa

S0

Trạng thái reset

S1
S2



3


Câu 5 (1,5 điểm)
Hãy vẽ sơ đồ logic của bộ đếm nối tiếp được mơ tả VHDL, từ đó xác định dãy đếm của
bộ đếm này:
library ieee;
use ieee.std_logic_1164.all;
entity CAU6 is
port ( CLK : IN std_logic;
Q : BUFFER std_logic_vector(2 downto 0));
end CAU6;
architecture THI of CAU6 is
component T_FF
port ( T, CK, Pr, Cl: IN std_logic; Q: OUT std_logic);
end component;
signal Z: std_logic;
begin
Z <= not Q(2) and Q(1) and not Q(0);
U0: T_FF port map (‘1’, CLK, Z, ‘0’, Q(0));
U1: T_FF port map (‘1’, Q(0), Z, ‘0’, Q(1));
U2: T_FF port map (‘1’, Q(1), Z, ‘0’, Q(2));
end THI;

Câu 6 (1,0 điểm)
a. Thực hiện hàm F = A  B chỉ bằng cổng NAND 2 ngõ vào

4

T


CK

Pr

Q

Cl

T_FF component


b. Viết mã VHDL mô tả hoạt động hàm F (Sử dụng mô tả cấu trúc với component
NAND2 – với x, y là 2 ngõ vào và z là ngõ ra)

Câu 7 (1,0 điểm)
Viết mã VHDL mô tả mạch tổ hợp: có A, B là ngõ vào 8 bit và S là ngõ vào 2 bit. Mạch
có ngõ ra F cũng 8 bit. Mạch có hoạt động như sau:
- S = 00 thì F là NOT của A.
- S = 01 thì F là AND giữa A và B.
- S = 10 thì F là OR giữa A và B.
- S = 11 thì F là XOR giữa A và B.
Yêu cầu: khai báo các ngõ vào và ngõ ra đều là vector và sử dụng lệnh CASE để mô tả.

Ngày 02 tháng 01 năm 2013
BM Điện Tử
5




Tài liệu bạn tìm kiếm đã sẵn sàng tải về

Tải bản đầy đủ ngay
×