Tải bản đầy đủ (.pdf) (7 trang)

Điện Tử - Cơ Sở Thiết Kế Mạch - Design Trên Máy Tính part 2 ppsx

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (159.14 KB, 7 trang )

CHUONG
I.
MO
DAU
VAO
THIET
KE
M,.,CH
VI
DI~N
Til
§1.1. Cae
ph:!n
do:;t"
trung thiet ke cae mach tich
hqp
SV
ra
(\i:J'j
ella
de
mach \'i di2n
Ill'
di'i
l:un
co'
~h
phel!
tri~ll
phCill
cll'll~


\,~I
phi-til
I1lCIll
Cllil
de
h~
th6ng tillh t,xtn
tl'Ong
nhl1"ng
th(tp
k~-
g;lll d,ly. Vll'l'
\,\ng hen
n,le
meTe
d6 Ifch hqp ella
de
lll<.lch
c1i¢n
til' IrcH
tnt'll
1ll::11
don
d~l
dua
t6i
\'i~c
che
1<,10
nhilng

I-u;
thong
\'O'i
d(l
phdc
1' 1]1
ngay
c~lllg
t:Ing
COll)!
ngh!.,'
che
I~\O
m,~ch
Itch
heyp
tren
eel sb'
uie
chflt han d,ln pluit
trj~ll
\'U
hao.
T(1i
gifb.l
nhfrng
n[\[11
RO
elta the k)'
20

nglf(1i
la
dil
dl
lh~
chc
tao
liU'(,il'
llhung
\11',lch
1ich
h9P
chu-a lui
h(\I1g
tri~u
liuh kicn di¢n
lU
trcn
mot
lmh
the
ch~-ll
b:ln
d,ll1.
I\'hU11g
llwch
dl)
duq'C
gqi
El

m'.Icil
lich
hQ'p
cao(VLSl)
ho~c
\a
mi tell
vi
cti¢n
IU.
Vi~c
ra dui
etla
nhung
111' leh
vi
di~n
Ill'
(hi him nay sinh
"~f
can
Ihid
ph,!i
co
ITIQI
phuong
ph{ip
luan va quy trinh thie't
ke'.
ehe

1<,tO
thieh h9V.
Trong eoog
nghi~p.
v,~c
che'
t, tO
uie
nweh lich
IH)p
dU'Q"c
thL!e
IlJ¢n
qua
hon giai
dm~n:
• Giai dmm thie't ke.

Giai
c1o<',m
che'
h.W.
• Giai
do<.~n
ki~m
tra.
• Giai
c1m.1I1
dong go!.
o giai

do,.111
thiel kc.
Itr
de
ehue nang ma
me.teh
sc
tlll.re
hi¢n.
('hClng
ta
x,ly dung
mCl
hinh ella
me.leh
tren
nhi~u
mue
de)
chi liet khac nhau. Cae muc
de)
chi tiet co the
(hrqc
ehia thanh muc kie'n true,
mu:e
logic,
mCre
V(lt
15;.
Ket

qua
eLla
giai
dO<'111
thiet
ke
la cac
rm'l
hlnh ella m,wh
(El
duvc xac
lIil(1ll
kh6ng
ehu:a loi tren phuong
di~n
thiel kc.
Gi<.li
<.10<.111
th(r hai iiI giai
c1O<.I11
che'
h.l0.
6 giai
c10,.111
nay, m'.Ich tieh hqp se
duqe
che
\'.10
th :o
dc

e(mg ngh¢
eflY
ghcp
d.e
phfin
tLf
lllC.lCh
iClI
dIe
tinh
tht
chat
bi.in
d<'ill
btll1g phmmg phap
m~11
na che
phLI
vi'I
cong ngh¢
X{IY
dung cae
mach
nhi~u
la-po
Ke't
q\l(i ella giai
c1m.l!1
llay
lit

nhung
vi
mach time
hi~n
nhfrng
ehLfe
n[mg
nhu
trong thie't kc.
Giai doan
ba
la
gi<'lI
c1m,1I1
kiem Ira. 6 giai
dO<'.111
nay, nhu'ng m',lch d5 che'
t"to
se
dU\J'C
kidm nghi¢m ngau nhicn
c1d
khiing dtnh
dll1g
l1l<:tch
kh6ng
ebu:a
loi ve
m,)!
ehe

!<;Io.
Trang
truung hqp co nhilng
10,
g(lp
nllleu litn
c6
the rut ra
7
1<:6'1
lutlll
loi
do
eo
Ih~
la loi lrong qua Irlnh
che
l<;to.
Dl!<I
\'aO
VI¢C
kitm
Ira
quy Il'lnb
eong
ngh¢ la
e6
Ihe
rUI
ra

ke'l
lU(1l1
\'e cae kh,lu c6
Ih~
>;mh
ra luI.
Cil;\[
doan
euai
clll1g
I~I
gml
c10an
dong
g6i.
Ll:ic
lki
de
vi
mach sc ducfe
phfll1
laeh \'il
du~jC
I,.to
Vl') hoe.
Trong
ehu'ong trlnh ta
s2
nghi2n
eCru

ky
giai
dm~ll
dill! ti2n iii giai duan
thict
kC.
Qua trlnh thic't
kc'
nie
mach
vi
lti¢n tti lrung
cong
nghicp
c1uqc
ehia
Elln
ba
ph;ll1
dOi ll1:
• Mo hlnh h6a.
• T()ng
hqp
vii
lai
Ull
ho'\.

Kl~m
nghi¢m vii

phi':
eilu£ln.
Trong
do ehung
la
1(lp
trung
vi:1O
blli
loan
m()
hlnh hoa maeh
\,~I
1611g
hl.lp.
t6i
ll'll
ho;i mach.
Pluin
du~n
dUll
lien:
1\-11'.
hlnh
hO{1
6 giai
dm.1i1
n~\y.
nh~1
thie't

ke
X[IY
(hyng
de
mo hinh dIll trllc
l11<,lch
\'il
de
ch(re
n~lllg
ma
mi lell
>;is
tlll.re
hi¢n.
Cic
mI.)
hlnh
m;.leh
iii
c{)1l1:!
cu
bi(o'u
di~n
d.e
;'
tu'('mg
thiel ke. Mo hinh ho,\ el6ng \'al tn) quail lrong Irong
LI1II.':1
kc'

m:.Ich
\'i di¢n
ILl'
blii
\'1
cac m6 hlnh
Iii
nhung phuong lien mang Ih6ng
Lin
\'(~
dc
mach
s0
duue
xiI)'
d~rng
m6t
deh
Cl) dong
VlI
ehinh x,ic. Do
d6
Ill()
hinh
e[m
ph;ii ehinh
x<.i.c.
ch[lt ehl' eOng nlur
c6
ml['e

ell)
16ng quat. trong su6t
\'(1
de
hl~U
c10i
w':ii
ngl['()"i
thiC·'t
kc "il may.
V{)'i
sl,l'
phat
L["J~n
eUd
cae
k5'
Ihu,:tl
mo
phong,
me)
hlnh
111<,1ch
c6
the duqc
xi.ly
d~rng
treil
cO'
so'

Ciic
ngon
ngL'i"
1110
l;i
ph[in
eU:llg
HDL
(harclwar~
description language>;). Trollg nhicu tnIll'ng hnp,
Ciic
mo
hlnh
de)
hqa nhu bieu do dong thong tin.
so'
de)
m,.teh
V(I
1lll)
I;i
hinh
d'.lI1g
hlnh
hQc
eLla
cae d6i
tU'(5!lg
cung
nhu

deh
sAp
xcp cluing
Lj'~n
Ixin
mach
dell
eo
Ihe
dUQ'e
dllllg
d~
hidu
<lien
m;.Ich.
D6i
vt'fi
llhi}'ng
llli,leh
co
dt)
lich
h0'P
sieu
lall
do dl)
phLi'c
t:.lp ella
mach
n'ft

eao
nen
\'l~e
X[IY
(h.rn,!!
111l')
hinh
mach
Ihuang
Iheo
eae
IllLrC
d()
chI
li2'1
kh,ic nhau.
Dieu
d6 elm
ph<'sp
nglf(\i Ihiel
ke'
I:~p
trung
\'aO
tung
ph,'ln
Cl'la
mo
hinh
I;.li

1[l'llg
giai
dO:.lIl
thiet
kC:'.
Ph;'l11
d()~n
hai:
Tong
hqp
va
t6i
I(lI
hila
Tl)llg
lWp
mach iii giai
cto'.111
>;;ing
I'.l()
thCr
hai ella qll,i trlllh
ll1le-l
ke'.
('lal
dOi.lI1
dill! lu[m theo
cae
\'
lUl'mg:

cllil
nh;1
Ihici kc
hl1111
111>l1h
(hin
de
kh;ii
ni¢m
Y~
111<.lch
Y~I
xay
dvng
nhung
mo
hlnh
sO'
h9
dfiu lien
ye
m'.\'.:I1.
Ml.lc
dleh
ehinh
cLla
giai
dO<;ln
t6ng
h\Jp

mach
I~I
xfly dVng
1116
hlnh
chi
liel
ella
111<.1ch.
v\
dl,l
nhu
cae
chi
liel
\'C
dang
hlnh
hqe
phl,le
vu
cho
cong
dO'.I11
Illp
nip
viI
tao
vi)
bge

eho
mach.
Dicll
n~IY
d'.lt
c1l(.iC
thong
qua
yu.\.
trinh
xay
dung:
V~I
chfnh
xac
hm\.
thiet
kc
ti:rng:
bu6e
trong
d6
m0
hlnh
truu wq"ng
ban
diiu
dW"iC
ngui\i
thiet

ke
chi
tiel
ho<1.
tung
bu6c
I~p
(11
I~p
l<:li.
Khi thVe hi¢1l
qUi.
Idnh
t6ng
Iwp
111'.lch
theo
dc
blfac Gii tie'n
m6
hinh,
ngu(1i thi('1
kc"
dn
nillcu
thC)ng
tin lien
quan
16'i
cae

e(lIlg
ngh~
che
tao
YLI
cac
phong
cach
thi(,t
kc
1l10ng l11u6n.
Ta
co
Iht
thtiy e.lc
ehCre
nang
ella
lll<.\eh
e6
Iht
dge
!{Ip
\'Cii
cae
chi
tic"t
thut
hiC'n,
trong

khi
do
Cile
d~lt1g
hi6u
dien
hinh
h~)C
ella
nwch
hO~1ll
toi\l1
pliu
thU(lC
\'LIO
cac
d;)c
tinh
ella cemg ngh¢
vi
dl,l
nhu
kfch
Ihu6c
cLla
de
d[ry dim IroJlg
miKh
plll.l
thuQe

dto
cbng
nghc
ehe' 1
•.
10.
Bai Imin
t6i
It'U
mach
IUllll
ke"t
IWp
cll(lt
che
\'6i bili Imin t(')ng
IWp
m'.lch.
Qu;.i
trlnh
16i
lilt
dOl
h(')i
phill
Il,l''-l
chQn
nhung
chi
lict

dc
djnh
eua
1l1i lell
\'()"i
ml.lC
rlieh
lam
Hill';
kh,'1
Il~ing
ella
m'.leh
"e
phuong
<.lJ¢n
thie"t
ke
tuong
Crng
v6i nhiJng d(l
do
x,ie
djnh.
Vai
Ir()
ella
tcii
lru
IiI

n[mg
cao
chell
IUq"ng
ella
mach
dien
Hlur
tOL
uu
\'~
chue
nan".
\'C
dj~n
ttch,
\'C
tll1h
d~
kiem
n"hicm
\'i'l
. _ b . b ,
ph,it hi¢ll
il')i.
ehuc
nimg lien
quail
t6i
thl"ii

gian
rl6
Ilwe
hi~n
Ill(lt qu(\
trlnh
:Xlr
I)' th(lJIg tin
cung
nhlf
~ci
IUQ"ng
Ih6ng
lin
c()
lh6
dU<;ic
XLe
Iy
trong
111(11
d{1ll
Y!
Ih(l"i
gian.
Cilc tlnh
n,-mg
ella
mach
Iii

anh
lur6ng
16n
t(1i
kha
nfulg
eanh
tranh
ella
m'.leh
trC:n
th; trui:5ng. V.tll
dc
ch{lt
Iuong
ella
n1<,leh
cOng !ten
qllan
t6i
kkh
Hllr6c
cling
nhlr
di~n
tfeh clla
n1<,leh.
Di¢n
Ikh
cung

IiI
d6i
Wong
cLla
16i
uu
mach.
Kfeh
Ihu6e
nhl) ella
me.lell
eho
phcp
co
Ih6 ph.ln
b6
nhicu
mach
tren
IIl9t
lap.
dicu
d6
IZlln
gli.im gl.l
IhLtnh
che'
lao
\'a
d6ng

g(ll.
Trong
dmg
nghi~p
ehe'
1,.\0
chung
la
Il10l1g
muCln
c<.'l
nhung
IhiL::t
ke'
eho
phcp
ph.it
h:cn
10]
\'a
x.ie
djnh
\'i
tI'l
16i
ella
nl<.\eh
sau
khi
ehe' tao. Kh.'t nfmg

!lilY.
lrong
nhicu
truil'ng hup, :lJlil imtJ'ng
16n
t6'i
eh:it
IIJ'~l'Ilg
ella
l11~leh.
M(ll
tht'H1g
~6
quan
trqng
lrong
\'eln
de
plUl1
hi¢n loi ella
Il1i.\eh
li\
ph[ill Inllll loi
e6
th6 duo'e
ph(11
hien
doi
,,6'i
mot

h()
g]a trj
thLe
nghi¢lll. l\'oi
chung,
ngU'{Ji
thic"t
k.c
mung
mu()n
c6
nhung
m,.ICh
de
ki~m
nghi¢\D,
dieu
d{)
lam
glillll gi(l
thLlllil
chung:
ella
qUil
tl"lnh
~,\n
xuelt.
PhilO
dOl,l1l
3:

Kicm
nghi~m
"'a
phe
chmln
Qui
Iflnh
phe
chuan
m'.lch
ILl
vi¢c (t,lt
dw.IC
<'1
m()1
mLre
(t() eh(lc eh{lll
hop
1)'
rang
111<.1ch
dicn
sc
lam
\'i¢c
dung
v6j gi,\
Ihic"t
khong
c6

loi
clie"
t,.lo.
TrC:n
')
phtlll
dm.lI1
nay
ml.le
dich
d~t
ra b\ pluii
IO<:J.i
hI')
m9i
16i
thi6t
kc
co
the
e6
tru6"e
klll
dua
van
san
xUtlL Qua tflllh phe ehwin
mi.lei"t
bao
g6m

vi¢e xdy dl;Ing mo
hlnh
mo
phong mi!eh
elva
tn~n
thiet
ke
va
tlive hi¢n klem Ira. Mo phollg
1l1<;lch
bao
g6m
phii.n
tich
die
dlen bien hanh vi ella
!ll~leh
di':ll then Ihni gian
doi \'6i
mQI
ho~c
nhieu
h(J
gia trj
dAu
vao.
Qua
trlnh
Jl)()

ph6ng
c()
the:
,ip
dl;lllg tn:n nhicu mue thiet
ke
kh5.c
nhau tuy theo
de
mue
truu
tu~mg
eLla
mo
hlnh.
§1.2. M6 hlnh
hoa
m;:tch
di~n
Mo hinh
!TIl.tch
la
bieu
di~n
trlru tuqng trang
d(j
chi
thich
hqp mi\ kh6ng
c6

nhung chi tiet tmrng (rng.
QUii
tdnh
t6ng
ht;1p
m<;leh
la
qua trlnh
t~IO
m6
hinh
111i.\Ch
bal
driu
Itl
nhung
bitu
dien
sa
luqe nha!.
Cae
m6
hlnh
duae
phun
Im.li
then cae
mLrC
dl)
mo

Iii
triru tuqng
Vll
de
g6c
dq
quan
~a1.
• Cae
!TICre
d(l
1116
til
trtlu tuqng:
duqc
chi a
lam ba
merc
nhu
sau:

Mere
kien true
6
1I1U'C
kie"n
wk.
mi.lch
di¢n duqe
tht

hi¢n qua t(lp
hqp
de
thao
tic
nhu
d.c
tfnh 10iln tren
dfr
h¢u,
de
phep
ehuyen
dbi \'ll Iruycn thong
tin. Vi
ell,!,
tren mlrc
kien true,
m~\Ch
co
the'
duqc bi6u
dien
qua
nhu11g
mo
hlnh tren
de
ngon
ngCr

1116
lil
phan
cUng. nhung bieu
diS
luang
thong
tin.
• Muc logic
6 m(re logic,
Illl.ICh
dlen duqc the hl¢n
ra nhlin" dac linh
c .
Mtl"e
kien true
PC~PC+I
Fetch (PC)

Muc 16gic
1>
1=L8=
Mu-c
hinh
hqe
I~I
nlHt
ti)p
hqp
dc

chu'c nang /(lgic
va
duqe
ehuy6n
Ihill1h
de
ham
logic. Vi
UI.1.
Iren
m(rc logic
Illi;lch
co
the
dlflJC
bicu uien
thong
qua cac bicu
do
ehuyen
tl"i Hlg
thai,
ulc
sa
do
mi.1Ch
logic.
I1lllh
1.1
Ba

llllk
Ol)
tri:ru
IlllYn)!
biell dicn mach (llen.
to

Muc
hlnh hoc
6 mue hlnh
h9C,
Im~eh
co
th~
dUQc
bitu
dicn
nhu
t<~p
hQp
dc
d6i
tlrqng hlnh
h9c.
Vi
dl)
don
gian ctia bic'u
di~n
hlnh h9c e6 the la

e:k
lop trong
mq.eh
nhicu
lOp,
dang
vc be ngoai
V~l
phfm
b6
clla
dc
phfin
tu
cau thanh
Im.leh.
• Cae goe
d<)
quan sat
ding
dUQ'e
ehia
thanh ba goc d():

Goe
elC;!
hanh
vi
Goe di} hanh
vi

mt')
t:i
de
ehde niing eua
m<:leh
ma
kh(lng
quan
tAm
tai
vi~c
tlwc hi¢n cae dll1c
n~Hlg
do.
• Goe
ell)
diu
true
Goe
tIC;!
diU true
1110
hi
mo
hlnh
m,~eh
bang
cae
th~H1h
pld.n

CO"
b,in ella
Im~eh
va cae lien
ke"t
gii1"a
de
thanh phan
d().
• Goe
tIt)
V1.)t
1)'
Goe
tIt)
V\l.t
Iy
co lien quan t6i cae d6i
tuQ'ng
v,~t
1"9
XU[lt
hi~n
trung thiel
k'::.
Cae rno hinh co
dc
Il1de
m6
tA

truu tu9ng khae nhau
v~\
co
the
el\.fl,l'e
quan
sat
thco nhung goe
<.19
khae nhau.
Vi
dl}.,
a
iTIdc
kie'n true theo goc
d()
hanh
vi
thl
me.lell
di¢n
l~l
tftp
hqp
c;ic phcp toan va
S\ T
licn quan
glll"i.1
chung
v6i nhau,

con
thl.Oo
gt)e
d()
cAu
true thl nweh Iii
t~IP
hqp
de
khoi
co
sa
va
ute
lien k6t, ghep n6i gilia
de
khai
co
so
do.
N0"u
xct truO)lg h0P thiet
kc"
d.e
mq.eh
ching
uQ
thl voi
d.e
mo

hlnh trcn muc logiC,
g{)C
d()
hZmh
vi
co
th~
la
cac
lUll
do
chuyc'n
tqmg
tlul.i,
con
goe
de;.
diu
true
la
cae ph[in
tiT
Il)gic
V~t
c,ic
kct noi giua
ca.e
phun
tlr
do. M6i quan


giua cae mue
d()
Huu
twmg
va
uie
goc dl) qUan sat
C{HI
mo hinh duqe
bie'u
dl~n
h,\ng
s(}
de)
ehu
Y ell.::t Gajski-
Kuhn.
G6e
dQ
h2uiQ
vi
G7~c
de)
eAu
true
r\1UC
kie'n true
;/
lIinh

1.2
ele
g(}c
do
qllan
~al
vii
d.c
llllrc
lllO
ti
trlill tlrong
nia
blC:u
dien
1110
hillh mach.
, ,
\ ,
, /
/
Mile hh{h hoe
11
I
lInh
1.2
eho
ta
(htlY
rnoi

lien
h~
giCra
cae
rn(re
hi~u
dien
m()
hlnh
\·;t
de
gae d()
quan
S(It. 6
muc
kien
true
va
l6gic.
rno
hlnh
1l1'.leh
tillro-ng
oll"<}e
hicu
dicn
tll<.:o
de
g6e
elt)

hiU1h
yj
V~t
ctlu
truc,
eon
0
Il1li"e
hI
nil
hqe
IlH')
hlnh
thui'mg
duqe
bicll then
qua
g6e
dQ
c:iu
true
va
V(lt
IY·.
Tr2n
hinh
1.3
cho
ta
d

tll.1
\6
dc
nnk
bieu
dlcn
ella
m6
hlnh
bQ
xu
iy
V~I
c(le
g/lC
dQ
qUdll
~,'lttuang
(mg. 0 lTIlIC
kitn
true,
thea
g6e
oQ
hanh vi, rn6
hinh
Iii
Uic dong i¢nh Iren
ng6n
ngiJ

mo
li\ phfln
eung
HDL;
theo
gac
d(l
cAll
true,
m6
illllh
ban
g6m
1.:lr
iHJr
cae
khoi
ea
sCi
nhu
h6
t[nh
lmill
so
hQc,
h9
(tieu
kilien,

yJ.

de
lien
kc-'t
giiJa
de
ph:in
tLr
d6,
6
mLre
16gie,
thea
goe
<.19
h~lllh
\'1,
mo
hlnh
ban
g()ll1
dc
so
de)
ehuyen
tf'.lllg Ihiii clla
cae
ot6mat
bieu diclI
hm.lt
dQllg

ella
mach:
then
gae
ct()
c:\u
true.
me)
hlnh
dW)'e
hi~u
elien btmg
de
so
d6
mi.ll'h 16gie gilra
de
rh'lll
tlt'
16gic
e(/
biill.
~~~
G6c
dc)
h~H1h
vi
G6c
de)
Cftll

lrllC
(,ell'
~ ~\1
~
~-
I
[fl'
~
~~
- -
ri
WJL
I
PC~PC+I
L
Mu-c
kicn true
Felch (PC)
I
ADD
I I
I
I
Contra I
~
'"to
0)
:3>
F x
GW1~

1 ),,"
,)
Mlrc
lC)gic
D D-'
(Slale
2)
lIinh
I.J
C~ic
IlllfC
bi~lI
di~lI111()
hillh
vil
[ill'
goc
ell)
qU.lll
\iil
llfon)'.
l'mg.
§ I.J,
Ton.t:::
hap
\-'a
t(ii
1ru
hoa
mach

dim.t:::
m:i,v
Huh
Cil'
e{mg
(\1
trq
giup
thiet
kc
hfmg may tfnh
eho
ph~p
ntmg
eao
mlng
",uSt
thi0't
ke',
Cie
k5'
thw.}t
Ihiet
k6
eho
rher gl,llll Ih(ri glall. n:mg
eao
chu
trinh
Ihi6t

k6
\'(\
giii!l1
e6ng
SLre
COil
nguo-i,
Cac
ky
thu(lt l(ii
tru
I~l!n
I;"mg
el1ftt
ll((),ng
tlli(1
hY.
Dn
do
de
ky
thU(lt t(;llg
hQ'p
vu
toi
u'u
hOii
1ll:.lch
\'6"i
~V

IIV
12
giup ella 1ll.ly linll
dUO'e
su
dung
trong
hriu
he'l
de
qua
trinh
IhilYI
"-0-
1ll',lCh
diell
ILl'
,,,6,
I.
'U,ng
hVp
IIW(h
di~n
ViL:e
phtm lo
•.
1l
de
m(l
hlnh

Ih~lJlh
cae
mu-e
IrLI'U
ILI'(.mg
\';1
CIC
g/lC
It{)
quan
,,:.il
eho
c1u1ng la
phwmg
phi.tp xtly dlJng
de
Ihie"1
k2'
tren gtai
dO'.I11
16ng
11O'p
mi,lCh.
(iiai
dm.m
h:;ng
h(,1P
maeh
e(l
Ihe

d\I(J'C
p!l[lJ\
ehla
Ih~lllh
Gle ph.tn
dO'.Il1
-",IU:
T()ng
l1\rp
d
Illll"c
kic'n Irue ban
gClm
vi~e
t',IO
ra g6e
d()
Cill
Ink
eLla
me)
hlllh
0'
mue
kien
tnle.
Dieu
[\;lj
IU'lmg
vuong

H1i
\'icc X,{lC
dmh
\'~I
phil!!
de
c1uic n{wg elm
mach
Ihi'tnh
de
ph0p
10,ln. etc
pll0p to,tn
n~ly
du{ye
g0i
IiI
6i
nguyen ella
Ihiel
kC:.
Tmllg
nHl
hlnh
cung bao
g6m
e.1
dc
kc'l
n6i

giCfil
de
phcp
10.11\
<I
trinh
Itr
Ihu'l'
hi~n.
Philn doan
ll~IY
IllLl'(mg
duqe gQI
IiI
(('lng
hop
(\
Illl're
L'<I()
h<l\'
ICing
IH,rp
eAu
true
VI
(1
d{)
ngu'i)"i
lhiel
kc

phJ.i
de
d!nh
C;'IC
du
lrue
\'J
m(l
( tren
ll1lfe
d()
dc
-;0
d6
khoi )
eLla
]11'.Ich.
'U;ng
h~1p
d rnlIe k)gie
IiI
ph[m
do,,111
1,.10
ra
g6e
d()
C<lU
Ink
ella

Illl)
hinh
(~
mlrc 16gie.
l\~l1g
hqp
logic hao
g6111
de
Ihao I,le
sLJ'
dung
ky
Ihu(ll logic
de'
1,.10
nen J11()
hlob
logIc.
M6
hlnh
n~IY
g6m
e{)
e,\c
ph,In
III
l6gic
co·
bin

\'a
kel
116i
giO'a
eie
ph.:in
Ill'
d6.
Nluf \':1)'
bu6e
long
hap
16gic
Et
hU"l_)-c
x,ie
dinh
c[['u
Irue
\'j
m(l
(
t'),
Il1lfC
de
ph:ill
It!
1()gIC cO
h{1l1
) clla lll',Kh.

U1I1g
\'l¢e ehuycn d6i
1110
hlnh
It'lgie
Ih~l!lh
de
h:0'1
neii
gi[j'a
de
ph:in I(f
dUc,fC
m(J
t.1
Imllg
lllll'
\'i¢n
die
pll[!l1
ILl'
cO"
~O'
Iluri:l'ng
gqj
I~I
,[nh
\<.1
c{)]I);
nghc

hay
1,'1
lien
kc'l
Ihen
IllU'
viC:n.
T(lng
hqp
().
mu-e
hlnh
hqe
hao g6m
\'i~'e
1',10
ra g6e
ct()
\"{II
1.\
ella
J1l() hln:l
()
m(rc
hlnl1
hoc. Noi
dell
kh[lC,
(1
m(fe

11:IY
lllll !lll1h
du"t,J'c
In()
Iii
Ihong qua
de
dilc Ifnh ella
1[11
c;i
de
m[lLl
hlllh hoc
lao ncn (t,lllg
Clla
«Ie
llli,lch.
ph[ln
h6
de
m'.lCh
1J\~1l
b:ill Ill'Kh.
Phtm
do'.111
nay Ihu(l'ng (tw!e goj
I~I
Ilm:;1
ke'
\',11

l~'.

×