Tải bản đầy đủ (.pdf) (7 trang)

Điện Tử - Cơ Sở Thiết Kế Mạch - Design Trên Máy Tính part 18 pptx

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (165.03 KB, 7 trang )

\'~lO
hai
\'(in de:
51!
plW
tllLl()(
ella thai gian tre
\',10
llU'6ng
truyen till
hi~u;
8(}
chfnh x

ic
Clb
\'J~C
\ik
di.nh
thai gian
[r~,
Trong
lll(}t
-;0
lhie'[
hi, thai gian tre
phI!
thuc?e
\'ao
IIlI{rng
tfuycn clla tin


hJ~lI
nl.
86i
v{ri
nhlJ"ng
thi0't
hi
nlllf
\'~Iy,
t!Jcrj
gitln oc lin hi¢u
ra
Wng
tLf
'0'
~
,
I'
\'il
giilll1
tLr
'1'
~
'0'
kllile nhau kha xa. Vi
dl)
tmng
nhCmg
lll, tch
MOS,

lhiri gian
dc
thid
1(lp
;.>Lfan
xuullg eua tin hi¢u ( thai gian
tn':
ella
suo-n
xu6ng
.0.
1
)
16n
hem
thai gian
thi~t
14P
sU'an
ICn
(
Illcyi
gian
tn~
cua
sU'an
len
.0.1{)
kh()~lllg
htl

I~in.
Dc
me}
IiI
!lhiing
tn.mg
Ihil.i
nuy, ta
duu
ru
giil.
(ri.
thai gian
In!
cua
su'&n
len (risl:)
va
thai gitlll
tre
eua slran xu6ng ([all)
.0.j{
y~t
!' F
d6i
\'(jj
Ilt"Ilg
ph,in
tir.
Trong nhilng

lru'ClJJg
hqp nlIlf
v(ly
khi
me
hinh
hOi't
hO' lt
d(mg
cua
mi.leh,
d(l
dill
cua
xung
s0
lang.
Them
van do, d6i \'oi nhilng
giil.
tr!
lhb'j
gian
tre
suan
ICn
va
xuong
kh,ie nhau,
eo

Ihe:
xU<lt
hi~n
nhiJng
trU'i:mg
hqp
khong
c6
tfen
Illl.rc
te
khi mo hinh
11m!
m' Iell,
TruClllg
hap
n~IY
Ctl
[ill:
th:ty
Iren hlnh 5.25. Trang hinh 5.25,
Iru<:mg
hqp a), tin hi¢u ra c6
tho'i
gian tre
Till
hll'lI
V'dO
C,
_ l

~
__
.
__
_
-~ *
I •
___
._L_I
__
_
il)j.r,=l.
\=3
c)
_\,
""
3.
:\
= J : lJUiJilg
IIlnh
5,25
Vi liu
\'c
moll'mll Ire \111m!en
\'~l"Lr!jll
XliOJlg.
hup khong lile \:ry
fa.
Stf<Jn
xu6ng

!' r
btlllg
btl
ebn
thai gian tre
sLfon
len
IXlng
nH?l,
lrang
IndllIg
Il<,)p
1l~ly
dQ
elili
cua
till hi¢u
ra
tilng, Truong hqp b),
tIll
hi¢u
ra
c6
lhh'i
gian
[ft
sL1C1!1
xuong
!' r-
bing

IllOt
con
Illcri
gian tre
Slr<1n
len
.11!
btlng
h,-li,
lrong
trUll"ng hqp
n~IY
eft)
di"li
ella tin hi¢u
fa
giiim, Trang
tru<)"]1g
h(JP
c),
tfn
lli¢lI fa
c6
IhO'i
gian
Ife
sU'()"n
xuong
!' r.
bilng

m(}1
con Ihbi giun Ire
sL1cm
len
!' I{
bang
ba, tin hi¢u
ra
co
suO'n
xuong nf91
IWoe
slfi:m Jell - di6u khong
the'
x,iy ra
trang
Illl;fC
Ie,
Nhilng lmo-ng Ilqp
nhLf
,,(IY
ph,ii
dLfqc
IrJi.li
bi)
trang
qUii
trinh
mc}
hinh hoa

va
1116
phong,
h.
Tn~
qu<ill tinh
Mqi
m~lch
di~n
d~
dn
!l<lllg
ILrqng
de
ehuytn
tr'.lllg
[hi.li.
1'\,l{Ulg
hf9"llg
ciw
lin
hi~u
Iii
ham
so
cua
bien ttl)
viI
d¢ dai lin
hi~u.

Neu (/\! d:.i
eLla
;ill
hi~u
qU{1
llgfll1,
tin
hi~u
khong
the'
beh
hOi.lt
de
philn
ILr
chuyen Ir',lug lila
I.
8(1
(Eli
ttli
liJiC:u
cua
tfn
hi~u
CUll
\,;'10
tlu
de
kfeh
hO,-,ll

Jlli.lCh
c1n
.Jlg
[h,'li
llLrc,Je
g9i
121)
la
thoi
gian
Ire
quan
tinh
dau
\,~IO
eua
ph[il1
Ill'
"~l
k)' hi¢u lit
.6.[.
0JhiIng
tin
hi¢u
co
lit)
dai
nho
hem
n[

Sl:
dUrjc
g9i
lit
xung
nhqn
\,'il
sc
kh()ng dUrje ph,in
tLr
eho
di
qua.
Ne'u
dl)
d~li
eua
lin
hi¢u
,,':10
16n
han
hO(le
h,lng il[, lin
hieu
sc
duqe
di
qua
mi leh

\'Iyi
de)
tre
bang
thai
gian
tn~
Ian truycl1
ella
pkin
ILL
Vi~e
clLra
tr~
qUi.l.n
Hnh
driu
\'ilO
eho
ph~p
m() hlnh
hoa
nhilng:
tmong
ht,IP
d(IC
hiel
Hung
de
sO

do Ihl!c khi ph[in
tLr
nglillg Iilln vi¢e voi
nhilng
xung
r[I'1
ht;p.
f I
j
I
o
Hlnh
5.26
dUd
ra
minh
h9;\
Iruang
IH!p
tn~
quan
Iinh d[iu ";\0
\'oi
xung
e6
d()
n)ng
Ilinh
5.26
I\llI1h

hO.l
eho
[lien
[lfong
tre
qUilll
tinh
(tiU ,·ilo.
b'-Illg
ha \.;\
Xl111~
c6
dt) fe)ng bimg lllt)1. Ph,i.n
Ill"
co
d()
tre
quan
tinh
lUU
\'ilO
l\
==
2 d
tf~'
Ian
Iruycn
.6.1'
=
I.

Kili
dl)
r(Hlg
eua
xung
btmg
m<)t
thl
lin hi¢u khCmg
16i
dLfqc
d:tu
nI.
Trong
nhieu
Imang
hop.
kCI
qUi.!
eua
vi¢c m()
hlnh
ho,i
tn~
l]ll,in
tinh
ph~J
thu()c
\,~IO
vi¢e Ire

quan
[[nh
xu[!1
IlL¢n
Ci
cUu
\'ilO
hO(le
doiu
ra
eua
pldn
lu,.
Tre
qUiil1
tfnh
xu:!t
hi~n
if
(.1[\u
fa
d(le
Irung
cho
\'i¢e
drill
ra
cua
phrin
Ill'

kh6ng
Ih~
tlLra
ra
lill
hi¢u
co
(1<)
cl2li
nht)
han
n
l
.
Xung
lin
hi¢u
{'.Ii
(Hill
ra
c6
the
dU'0C
xung
tin
hi¢u
d[iu
"ilo
"inh
ra,

nhung
eung
co
Ihe"
h~
loai
be)
neu
co
d()
fbi
,~D-,
__
o
o
(
\I=~)
Ihnh
5.27
Str
kh;iL-
bid
khl
tre
quan
rinh
xlIiil hien
t;.\l
(hIli
vao

villai
(hiu
ra.
D
o
, _ ,0 ,-
__
qua
nh6.
Ta
hay
xet
phfin lir OR ba d:iu
\'~IO
voi
Ihong
so
thai
gian
Ire Ian
tfUy~Jl
.6.['
= 2
V,I
1\
= 2.
Cic
lin
11l¢u
dau

v,lo
deu
co
dQ
d,li bang I
v;\
t,\c
d,)ng
I:m
IUCJI
n.'ji
de)
Ire hilllg
1.
Trong
Iruang
hqp
Ire
quan
Iinh
dU~1C
d~11
I' ti
driu ra,
ba
tin
hi~u
dfiu
\"~lO
Ifin

luq1.
sinh
ra
C<IC
xung
gi;i
11'\
'I'
e6
tI() dili
hilllg
1
lien
tlep.
CiIC
xung
nay
hqp
th2111h
tin
hi¢ll gi,i trj
'I'
co
d()
d2u
h,\ng 3
121
t' Ii
dfiu ra. Tin hi¢u oay
,,1:

xuat hi¢o treo duu ra
VI
co
d()
dai
16n
hon th6ng
so
tre
quan
tinh
driu
ra ( 3 > 2
::::
~I)'
Trong truang
hQ'p
thu'
h'-li
khi tre
qUitO
tloh
dlIQ'c
d(\t
Wi
driu
vao,
ba
tfn hi¢u dau vito
d~u

co
dl)
dai nh6
han
thCmg
so
tre
quan
tinh
do
dl)
khong
the'
kfch
hOi~t
phun
tlr.
Dieu nay dan
t6"i
vi¢c tin
hi~u
diu
ra kh6ng
dlIQ'C
hloh thanh. NhlI
v~y
vi¢c
016
htnh hoa qua trlnh tre quail
tlnh gan lien voi cae

d<~c
tfllh
v~t
ly
cua
phao
tlr
Va
can
th~lO
tr9ng khi nghien
cuu.
Hioh
5.28
cho
ta thily nhfrng aoh
hlICmg
khac nhau
CU'-l
cac m6 hlnh
tn~
tai vi¢c hinh thitnh tin hi¢u duu ra doi voi phan
tu
AND
hai
driu
vao.
De:
qua
trlnh

1110
hlnh hmi
lTI~ch
dlIQ'C
chinh
xac
1'-1
cfin
ph,'ti
nghicn euu t6
hQ'p
nhfrng
di~ng
khac nhau clm thai gian tre. Nhung dieu nay
l~ltn
ung
thoi
<I.
i\
= 2
c +~

,
,

.jo L
1I'"h
5.211
S"
",,,11

de
\\1('
IItnil '!u'; ll'llh
"~
l,"n
11I~u
~)T,01''''lLuyL·nll)lJ.;''
nhJI;
h)
Ttl'
,u,"mlcn 'i,
,uinl
xurlllg,
<")
T16
lil,"'"g
'k
,t!nil, d)
fri'
'lu,in linh
(ll\~'u
un.!'
Ihu
h~r
~ulIg
);~)
Tl~
'luuLllfnh
fl.
L\I

=2
c.
L\,
=2.
6
=2
gian thlfc hi90
!TIO
hinh hoa va
016
phoog. dieu nay khong the chap
nh(Hl
dLfqc
trong ky
thu~t.
Nhu
V~ly.
de
thlfc
hi~n
1m)
hlnh hoa qua trlnh tre tin
bi~u
v:t
khong
lam
<'mh
11l16ng
t6i thai gian
!TI6

ph,'mg
t'-l
co the
'>v
dl}ng trinh
ttrsau:
122
Kic'm
nghi(:m logic cua
mi;ich
Slr
d~ng
ffil)
hinh chi gom cae tre thuan
nhXl;
Thl!c hi¢n m6 htnh hoa va
md
phong tfnh toi
'>If
khac bi¢t
ella
thai
gian tre SUOll len va
Sl1an
xuong;
Su
dl}ng mo hloh tre khong xac djnh trong qua trinh
mo
hlnh hoa va
016 ph6ng;

ThljC hi¢n qua trlnh mo hloh hoa
su
dl}ng
dc
phl10ng phap thong ke
doi v6i nhfrng t6 hqp
kh,k
nhau eua qua tr1nh
tn~
tuO'11g
(mg.
2.
M6
hlnh
h6a
qua
trinh
tre tin
hi~u
qua
cae
phan
hI
chue
nan~
va
thanh
ghi
Cae chilc nang logic va
dc

d(lC
linh thoi gian clla
d.c
ph[in
IU
chLrc
n[mg
phLrc
ti.lp
han so voi
dc
phtin
lillogic
ca
bAn.
Ta
hay xct vi
ill,!
mo ta hanh
vi
s
o 0
,
S
R
C 0
Q
QN
l·g;rr~
,

._ ;c-
0 0
I
x x
I
0
c."
=
4:
c.,~)"
==
~
r
I
I
0 x x 0 I
.\
=
3:
6.,
,,=4
C
ON
I I
I
t
0
0
I
c.

1

=
8;
S.,
~
= 6
R
0 I I
t
I I 0
L\'
.'
6: A\
.'()"_-~
x 0
0
x x
"
"

lllnh
5.29
11111h
hoa db
Ire
vaa/ra doi
v6"i
[111[111
Itr

ch(rc liang trigo'
D.
hOi lt
d¢ng coa philn
tu
triga
0
l~lln
vi¢c theo
Sl10n
Ien voi hai throng tin hi¢u
kh6ng
dong b¢ thiet
l~p
S (
set)
va khoi
t<;io
R (
reset)
( hlnh 5.29
).
Trong
bang tren hlnh 5.29,
kg
hi¢u
"!1110"
chi

tn~

cua dap
Ung
t~i
dau l'i.I
'0'
doi
vai tac dt)ng toi dau
V~lO
'1'; cac
kg
hi¢u
t::,.'
va
t::,.1
chi dt) Ire wan len
vii
sunil
xuong
clla phan tu.
Ta
xct
mQt
dong clla b,lng. vi
dl,!
dong
IhLr
ha. Dong nay
chi ra rang: neu
tr<;lng
Ihai han duu clm

pM.n
IU
q =
'I'
va
t'.1i
d.c
duong
tin
hi~u
S.
R khong co tac dt)ng ( S =
'1'.
R =
'1'
),
sl!
chuycn
tr~mg
Ih,li
CU:'I
xung dong
h6
C
tu
'0'
sang'
l'
se
l~un

cho
driu
ra Q
nh(\I1
gi,,\,
trj clla duo·ng D
V0j
thOi
gian
tr~
suan
xu6ng
t::,.IClQ
=
8;
cGng tuang ttl
nhu
\'~y.
duu ra
QN
chuycn
tit
'0'
sang'
l'
voi
dQ
tre !1'CIQN = 6. Dong cuoi cung cihl
b<ing
chi ra

dng.
neu cac dau vao R va S nh(m gia tr!
cam
"00",
cii hai duu ra sc cung
nh,~m
gia Irj
'U'.
Cling
tuang
tt!
nhl1
doi voi pilrln til logic. khai ni¢m
d<;J
tre quan
tLnh
dau
vilo
co
tht:
ma
r<;lng
cho phan
Ill'
triga
neu ta
oua
vao
dc
thong

so
chi
dQ
rt)ng ct!c lieu cua xung tac d¢ng doi voi cae dau vao C,
S.
R.
Nhfrng
duong
tin hi¢u nay
co
tac dl.tng kich
hO<,lt
qua trinh chuycn
tr<;lng
tll,l.i
cua
trigo.
Doi voi phan ttr
triga
0 noi
tn~n,
trong
nhi~u
Iruang hqp.
ta
dn
dua
ra
nhfrng yeu cau
v~

oQ
dili cua tin hi¢u
dt:
tninh
hi~n
tuqng
ch<;lY
c1ua
gifra
Ci.lc
duang
tin hi¢u C va D. Hai Ihong
so
thai gian khoi
t<;to
(setup time) va Ihoi
gian ton
ti li
(hold time)
lil
nhfrng thong.
so
chi khoang thoi gian
nhc)
nhat
truoc va
sau thai
di~m
ehuytn
Ir,!-ng

thai Iren duong C trong
do
gia trj tren
123
dt['i.1'ilg:
Illl
hicu
D ph:ii (;n djnh (
khong
Ihay
(!(ji
)
de
trigo
ho
•.
11
dl)ng ehlBh
Xiic.
etC qu,i
ldnh
mo
hlnh ho,i logIc
va
m6
philllg
ph,'li
pll,lll
anh
ctuqc

de
yell
du
nllY.
D6i
\"t'5i
nhQ"ng
philn
tV
ph(['c
tap
han
nlm
dlc
thanh
glli,
qU;1
tdnll
Ire lin
hi~ll
dul.'J"C
!llO tii it chi tiel
hl1n.
Trang
nhicu
truang
IWp,
c_lc
1m)
hlnh trcn

111(['C
Ihanh
ghi
~ll:
Ch;lllg
cac
chu
trlnh
thai
gian
l~p
V~I
nell
trong
do
co
xi.ie
djnh
dc
Ihong
s6
Ire,
de
thong
so
nilY
~2
du~"iC
coi
El

d(>
tre Ian Iruyen.
~5.5.
M(.
hlnh
hoa
trt'll
mlfc
de
phan
(if
I()gic
Cae
phuung
phap
ditu
khien
qua
Irlnh
the
·hi~n
trinh
H.I
tlwe
hi¢ll
mo
hlnh
hml
va
nhlrng

phuong
phap
xV
Iy
gan
lien
vCii
'lU{1
lrinh
m6
phong
nweh.
Trong
phuallg
phap
bien
djch, trlnh
IV
Ihy'e
hi~1l
mo
hinh
hoa
duqc
x{le
djnh
b{lIlg
vi¢e ph,ln
IWng
cac

phfin tv.
Nhu
v,Jy, vi¢c ngtlt
cae
vang
rhiin
h,)L
trong
nhi1"ng
n:i.,lCh
Weill
tt!
Vi:I
phtm iWng philn
tv
ehinh
EI
pillro'ng rh'-Ip
dicu
khien
qu{\
Irlnh
m(l
hinh
hm'!.
D6
chinh
la
nguyen
nhtm dtin t6i

\'i~e
kh(lllg
the
Slf
dyng
cae
m6
hlnh Ire
IrLI"
1110
hlnh
\'6"i
ct,)
Ire h,'mg
kh6ng.
Tmng
nwe
lI~ly
C!lUllg
la nghi2n
cuu
cae
phuong
phar
c1ieu
khien
tmng
qUii
trinh
1110

hlnh
hoa
huong
s\f ki¢n nlur
mot
phuung
ti¢n
mo
hiBb
bOlt
IH' leh
vCri
d(l
Ir~
kh,ic
khong.
Khi
slr
d~lllg
phuong
ph(jp
mo
hlnh
hoa
huollg
sv
ki~n
ne'u
gi,'\
Irj

1111
hi¢u
, ;
\"(.,')
ti ti
Ihai
di6m tllai
I);,,, d.u,"
f ;
,"c'"
Hitlh 5.30
~Illlh
hoa oallh
~,ich
w kicn.
gian
To
khae
gi:1
tri L'(j)
h.ti
thai
di6m
Inr6e,
di0u
do
co
nghlil
I~I
xutlt

hi~n
Sl.r ki¢n, ta
se
eoi dtng tin
hieu
Ihay
dOl
uia
tri
\,~lO
l!lo-i
e .
di6m
To
+
~l
.
trong
do
~
la
do
tre Ian truv':n
,.
~
ella philn
IV
dang
xcI.
De

m6
t,i W
xu<"it
hi¢n
su
kien
tn~n
dc
dLHlng
tin hi¢u
tmng
m:.leh
thea
thai
124
gian ta eh(l'" cae
S~l'
ki¢n
dlo
danh
siich luye'n t[nil
e6
th,tng
hidu chcn Ir0n
hlnh
5,30
va
gl.li
1;1
danil

"'{Ieh
s~r
ki~n.
TucJ'ng
(rng v6i mbi tllt)t tilbi
di~lll
Ihhi
gian ,
la
sO:::
e6
m{lt
danh
siieh
de
S\f
ki¢n
XU[lt
hi¢l1
V~IO
lilfJi
diC:1l1
cl6.
Khl
e6
Illl)1
sl!
ki~'n
m6i
xu[\1

hi¢l\.
';I,r
kl¢n ni\y
duqe
(lLl'a
\';10
danh
';,lcli
s~r
ki¢n
g;\11
lien v6i
m(l(
thh'i
di~m
thi:Ji
gian xiie djnil.
'1'<1
Ilfll
Y
Ill{)\
diCL!
nlm
sau.
,W
XLiAI
hl¢1l clli\
nH)t
theri
diem

Ihefi
gian
dU'(l"e
(bnll
diYu
tfong qu,t Irlnh
m()
h)l1h
ho(j kh6ng
phl,l
thu(>e
V~IO
ehuoi
lh(Ji
gian.
Do
d6,
de
x<-le
djnh \'j Iri
eua
de
tl}(')'j
diem
'.1;1
dll'Q'c
\ [nh
tm'Cie
trong danh ';iieh
Slf

ki¢n, cilllng ta
c£ill
ph,li
c6
cllUt'li
th0i ginn tuong:
(mg
vtii
danh
siieh
dU\1e
xlr
IY.
Dieu !lay
c6
Ihe'
ctlfl/e
lime hi¢n
d~ra
V~IO
de
<-inh
X'.I
thl)'i
gW!l.
Khi
LL
';tf
dllng
phcp

,Inh
X' I
thi)i gian. day
de
thl1i
(hem
theri
gian
dU\iC
xiie
djnh
\'('JI khoilllg tho'i gian
deh
dell
!'
m()i
s~r
ki¢ll trong

Ih6ng

0
dU"t/e
Xilc
djnh dua
\'ZIO
de
tho'i
Ji~m
thoi gian

n~IY.
Kho,'mg tillii gian
i\
du<)'c
X{IC
dPlll b;\ng
Lf6e
,.,6
chung Ion
nh£it
ella ella thb'i gian
IJ"uyen
till
IUC'1l
d de)
Ire ella
de
ph<.lll
11'1
Il"Ong
m<.leli.
Do
gi{)'i
Il<.ln
\'C
h(>
,il16
dLlIlg
de
Iinye

hl~'ll
qUii
2
3
Innh
5.JI
Von!;
de
Ihb'i
diem
tiliJi
gi'1I1
m()
phong.
Irlnh
1ll()
hlnh
ho,i In'.leh.
1<1
xae dinh gia
tfj
gi6i
h'.l!l
ella
tlll')'i
(110111
Ihl\i gian
elie
d,~i
biing \1.

NllLI
\'i)y, eae
Iheri
JiC:m
Ih(ii
giJ.n
~all
Iho'j
ditlll
cue
d'.li
"'C
quay
L,lI
b[1[
(till
ILf
IhCli
diem ban
(Hill
V:1
1;,tO
t!Jttnh
\,l>ng
qLlay
Ihl')'i
gtan
(h'lnh xc
theri
gian).

ThC)]lg
I[url)']]g.
kho;[;lg tlllij gian
L\
tLIong
Ihfeh
\'o'i
d6
trc
eua
cue ph:lll
Ill'
lrong mach. Trong
nitLfIlg
tru0ng
h</p
kili Irong
1ll'.lCh
e6
nhung
ph:ln
tLl'
\'('ii
ell?
tr~
I{m,
kl1m'tng
titeti
giaJl
D.

co the Vm}1
qU[1
thai gian gi6i
h;,tIl
M,
dicu
n~ly
(Em
16·i
vice
theri
dtC:m
m6
ph(mg
VU\11
ra
ngo~li
n'lllg
I
[1(\i
gj,lIl \'il grl)'
nCll
hi~n
lU'qng tr:tn. Dc gi:ti quyc't
v,-YLl
dc nil)'. ta
X:IY
(h;JJlg
danh
~,-ieh

bC)
In,r
de
[lrLl
trLt
de
tillfi diem trim
viI
gqi
1~1
danh
'-;<-leh
tran. Trong tru()'ng
hqp
Slf
dung
dauh
sikh
tdUl. moi khi banh xc titt\i gian tlure hi¢n ctw!e m(lt \'ong
quay.
la
dn
thlre
hiGll
Ihao t,ie
Ir,-i
de
thai diell11rong danh s{l(h Irilll
ve
ellll

Irlnh
xLi
I)'
theo \'bng thai gian.
125
Khi till!e
hi~n
qua
1rinh
1116
hlnh hoa
thea
vong thai gian, cae
oU'O'e
eua
qUii
1rinh
1116
phong
e()
the
c1uqe
bieu (lien
duai
d'.lOg
sau:
HI:
Thie~t
l~lp
cae gia trj

ban
duu ella tin
hi~u
li.li
thai
diem I +- II!
va
d(tt
cae
gi.:i
Ir!
do
Ihanh
gia
Ir!
hi¢n Ihai.
B2:
D(,)C
cae
giiJ
tfi dilu
vao.
Neu
gia
Ir!
nay
khae
cae gia tr!
hi~n
Ihai, ta ctva

chung
vao
1~IP
hqp
LT
lrang
do
1"
= t +
t
T
.
B3:
Nell
LT
=
0,
ta
ehuyen
lai
bUlk
135,
trang
truang
hqp
ngu(~e
h.li,
la
ehuyen
lai

buue
B4.
ll4:
Ntu
gia Irj
lrang
LT
Irung vui
gia
tr!
hi~n
thlfi, la giiJ
nguyen
gia
Irj hi¢n Ihai; neu cae gia
tl"j
nay
khae
nhau,
t;.\
Eun
e(lp
nh(11
gia
trj
hi~ll
Ih6i
va
c1U<l
vaa

L"
1"
= t +
t.
r
.
Giin
I+-
f+
I
va
quay
ve bu6c 02.
Ta
hay
xel
1119t
vi dy
minh
hQa
thu(tt tmin
1116
hinh
hoa
hU6ng
Sl!
ki~n
d6i
vO'i
lni \Ch

bieu
dicn
tren
hinh
5.32. Cae
gia
trj
'1'
va
'0'
tr6n
m0t
dU'ling lin
hi~LI
bat
ky, vi
dl,l
1a
tren
duang
(I,
se
duqc
ky
hi~u
HI
(a:
1 )
Y~I
(a:

0
).
Giil
thief ning
cae
lin hi¢u driu vao thay doi
theo
giJ.n
do
thoi
gian
tren hlllh
5.32.
phcill
tlf
OR
co
dQ
Ire Ian
truyen
t.T::
2.
Trang
truang
hqp
nay
qUii
Irlnh
m(J
hlnh

hoa
huang
sl!
ki~n
sc
dLrqe
tlwe hi¢n
Ihea
tdnh
II!
du6i
dCly.
a
b O
, ~ ~
c = a
OR
b
2 3
~
5 G
IJlnh
5.32
l"Ifinh
hoa
lhuil.l
toanlllu hinh
hm!
hwJn.Q
SH

kll:ll.
I. B I:
T;',li
thdi
di~m
ban
(tiu
t =
til
( til = 1
);
Cic
gia
II"!
b~\I1
Mu:
(a;O),
(b:O),
(cO):
2.
B2:D0egiiltrjdauvao:(a:l)~LT+\I=L,=1(c:I)J;
3.
B3:
Kiem
Ira
Lp
LI
=
0,
ehuy~n

tai
bU(rc
BS:
4.
Wi:
t +- I + 1 ( t = 2
);
Quay
i<.li
bLroe
B2;
S.
02:
D9c
gia Irj dilu
vao
(
h;
1
):
LT+,\I
=
L,
= 1
(e;
I )
J:
126
6.
H3:

Kiem
tm L
2
,
Lc
= 0 , ehuyc'n t6i bu6e
85;
7.
B5:
t +- I + 1
(I
= 3
):
Quay
I'.li
btJac
H2:
8.
132:
D(,)C
gii\ tfj driu vao ( a; 0
);
9.
133:
Kiem Ira
L"
L\ = !
(e;
1 )
},

L,.;to
0;
Tiwe
hi¢n buoe B4;
10.
B4:
Giil trj
hi~n
thoi
duqc
thiet
h~p
h~lIg
(e;
1
):

×