Tải bản đầy đủ (.docx) (24 trang)

BÀI BÁO CÁO THỰC HÀNH XƯỞNG THỰC TẬP IC ĐIỀU KHIỂN SỐ

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (808.53 KB, 24 trang )

TRƯỜNG ĐẠI HỌC ĐIỆN LỰC
Khoa Điện Tử Viễn Thông
BÀI BÁO CÁO THỰC HÀNH XƯỞNG
THỰC TẬP IC ĐIỀU KHIỂN SỐ
Giáo viên hướng dẫn: Th.s Hoàng Vân Đông
NHÓM 19: NGUYỄN QUANG NAM
NGUYỄN BÌNH MINH
Hà Nội 4/2013
[Type text] Page 1
BÀI 1: Lý Thuyết
BÀI 2: CÁC PHẦN TỬ LOGIC CƠ BẢN
1: Xác định IC số.
- 7400.
mạch NAND
- 7402.
mạch NOR
- 7404.
mạch NOT
- 7408.
Nhóm 10/D5-DTVT1 Page 2
mạch AND
- 7432.
mạch OR
2. Thiết kế mạch bỏ phiếu 3 đầu vào.

Nhóm 10/D5-DTVT1 Page 3

Nhận xét:
+ Để thiết kế được mạch bỏ phiếu 3 đầu vào,ở đây ta sử dụng tới phần tử logic
AND và OR hay thực tế ta sử dụng IC 7408 và IC 7432.
Thiết kế mạch bỏ phiếu 3 đầu vào chỉ sử dụng NAND 2 đầu vào.


Ta có:

+ Sơ đồ mạch:

Nhận xét: Với mạch này ta chỉ cần sử dụng IC 7400.Từ đó ta thấy các phần tử
logic có mối liên hệ với nhau và có thể thay thế cho nhau.
Nhóm 10/D5-DTVT1 Page 4
3. Thiết kế một mạch logic đơn giản.

BÀI 3: MUX VÀ DEMUX
● MUX(multiplexer): Đây là một mạch điện đặc biệt,nó liên quan tới bộ đếm và
bộ giải mã.thực chất MUX chính là sự cải tiến của bộ giải mã và nó có thể thực
hiện được nhiều chức năng khác nhau trên cùng một mạch MUX nhiệm vụ của
mạch MUX có thể làm được là:
- Tạo hàm logic ở hệ tổ hợp
- Dồn kênh để tạo ra một kênh thông tin mới(ghép kênh)
- Phân đường ,cho phép từng luồng thông tin đi qua.
Nhóm 10/D5-DTVT1 Page 5
Sơ đồ nguyên lý như sau:
Đây là loại MUX 2-1 tức là có 2 tín hiệu đầu vào và 1 tín hiệu đầu ra,ngoài ra còn
nhiều loại MUX khác như MUX 4-1…
● DEMUX là một mạch đặc biệt được tạo ra từ sự kết hợp giữa bộ giải mã,bộ
đếm.nhiệm vụ chính của mạch là phân kênh,hay từ một tín hiêu chung phân kênh
truyền tới một đầu ra theo yêu cầu dựa vào tín hiệu điều khiển.
Sơ đồ nguyên lý như sau:
Đây là sơ đồ mạch DEMUX 1-2 với 1 tín hiệu đầu vào và 2 tín hiệu đầu ra.
● Sự kết hợp giữa MUX -DEMUX
MUX và DEMUX là các bộ ghép kênh và phân kênh sao cho
+MUX : khi s=0 thì y=S0
khi s=1 thì y=S1

+DEMUX : tương tự có tín hiệu ra S’0=S0 và S’1=S1
Nhóm 10/D5-DTVT1 Page 6
+ Sơ đồ mạch.
Nhóm 10/D5-DTVT1 Page 7
+Phân tích mạch
-Khi tín hiệu Select S=0
tại 74081 : tín hiệu ra là S0 (vì S0 . = S0 )
tại 74082 : tín hiệu ra là 0 (vì S0 . 0 = 0 )
→Khi đó tín hiệu ra ở 7432 là S0 ( vì S0 + 0 =S0 )
-Khi tín hiệu Select S=1
tại 74081 : tín hiệu ra là 0 ( vì S0 . = 0 )
tại 74082 : tín hiệu ra là S1 ( vì S1 . 1 = S1 )
→Khi đó tín hiệu ra ở 7432 là S1 (vì S1 + 0 = S1 )
Vậy với S=0 cho ra tín hiệu là S0
với S=1 cho ra tín hiệu là S1
thực hiện chức năng MUX
Tương tự tại 74083 và 74084
-Khi tín hiệu Select S=0
tại 74083 : tín hiệu ra là S0 (hay S’0 = S0 )
tại 74084 : tín hiệu ra là 0
-Khi tín hiệu Select S=1
tại 74083 : tín hiệu ra là 0
tại 74084 : tín hiệu ra là S1 ( hay S’1 = S1 )
thực hiện chức năng DEMUX
Nhận xét
Mạch MUX-DEMUX là 2 mạch điện logic cực kì quan trọng trong kĩ thuật
với chức năng dồn kênh, phân kênh nó được sử dụng rộng rãi trong các hệ thống
tổng đài viễn thông,sử dụng trong hệ thống máy tính hiện đại một bộ hợp kênh
gồm nhiều mạch hợp kênh được dùng truyền dữ liệu tới bộ nhớ bằng địa chỉ hang
và địa chỉ cột. Vì mạch chọn kênh được thực hiện ở đầu phát và mạch phân đường

được thực hiện ở đầu thu nên để đảm bảo dữ liệu được truyền đúng kênh thì mạch
chọn kênh và mạch phân đường phải đồng bộ với nhau.
Nhóm 10/D5-DTVT1 Page 8
BÀI 4: GIẢI MÃ LED 7 THANH
LED 7 thanh và IC 7447.
IC này dùng để điều khiển việc hiển thị Led 7 đoạn theo mã BCD. Bên trong IC là
các cổng NAND, các bộ đệm ngõ vào , và 7 cổng chuyển đổi AND-OR. 7 cổng
NAND kết hợp với bộ lái để tao ra mã BCD cho việc giải mã 7 cổng chuyển đổi
AND-OR . IC này chỉ dùng cho việc giải mã , nếu không phải sẽ không giải mã và
số sẽ hiển thị không đúng.
Nhóm 10/D5-DTVT1 Page 9
+Rút gọn bìa Kacno.
Nhóm 10/D5-DTVT1 Page 10
Nhóm 10/D5-DTVT1 Page 11
Sơ đồ nguyên lý
Nhóm 10/D5-DTVT1 Page 12
3 . Nhận xét
Mạch có ứng dụng trong các đồng hồ số
BÀI 5: RS TRIGO
Nhóm 10/D5-DTVT1 Page 13
Từ bảng trên ta thấy :
+khi S R = 0 0 thì Q = 11
mà do mong muốn khi lắp mạch 2 đầu ra phải trái ngược nhau nên đây là trạng
thái không mong muốn. Vì vậy trạng thái này được gọi là trạng thái cấm.
+khi S R = 0 1 thì Q = 1 0
Hay thiết lập 1 cho Q
+khi S R = 10 thì Q = 0 1
Hay thiết lập 0 cho Q
+khi S R = 11 thì Q giữ nguyên trạng thái trước đó
Nghĩa là nếu trước đó Q = 1 0 thì sau khi kích Q vẫn = 1 0 ; và ngược lại Q

= 0 1 thì sau khi kích Q vẫn = 0 1 .
Nhận xét
Trigơ RS là một trong những thành phần cơ bản cấu thành lên các mạch tuần tự.
Mạch tuần tự là mạch có trạng thái ngõ ra không những phụ thuộcvào tổ hợp các
ngã vào mà còn phụ thuộc trạng thái ngõ ra trước đó. Ta nói mạch tuần tự có tính
nhớ .
BÀI 6: BỘ ĐẾM ( 0 ÷ 9 )
Bộ đếm số sử dụng 7493-7490
1.Lý thuyết
+) IC 7493 gồm 4 trigơ JK , gồm 2 bộ đếm không đồng bộ mod 2 và mod 8 độc
Nhóm 10/D5-DTVT1 Page 14
lập.
+) Lối vào xung nhịp CA và lối ra QA là của bộ đếm mod 2
+) Lối vào xung nhịp CB và các lối ra QB, QC, QD là của bộ đếm mod 8
Trigơ JK
. JK là loại Trigơ có 2 lối vào điều khiển J, K
. JK có đầu vào đồng bộ C. Trigơ có thể lập hay xóa trong khoảng thời gian ứng
với sườn âm hoặc sườn dương ứng với xung đồng bộ C.
2. Thiết kế
Thiết kế mạch đếm sử dụng 7493 và 7447
Sơ đồ nguyên lý
- Do mạch chỉ sử dung một LED 7 thanh nên chỉ có thể hiển thị được các giá
trị từ 0 đến 9 mặc dù mạch có thể đếm từ 0 đến 15.
- Ngoài việc đếm từ 0 đến 9 ta còn có thể điều khiển mạch đến từ 0 đến 1 giá
trị bất kì ( trong khoảng 1 đến 9 ) bằng cách kết nối 2 chân R1 & R2 của
7493 tới các chân A B C D hay Vcc ( vì khi cả R1 & R2 cùng bằng 1 thì
mạch đếm sẽ được Reset ) dựa vào bảng sau:
Nhóm 10/D5-DTVT1 Page 15
7447
7493


Ví dụ: để cho mạch chỉ đếm từ 0 đến 4 thì có nghĩa là khi đến 5 thì 2 chân R1 &
R2 phải bằng 1. Theo bảng trên ta thấy giá trị 5 tương ứng với:A = 1, B = 0, C = 1,
D = 0
Vì vậy ta chi cần cho R1 nối với A & R2 nối với C như hình dưới đây:
Còn với trường hợp mà giá trị để Reset chỉ có 1 trong 4 chân A B C hoặc D bằng 1
như khi cho mạch đếm từ 0 đến 3, khi đó giá trị 4 tươngứng chỉ có C = 1 thì ta chỉ
việc cho R1 hoặc R2 nối với C còn chân còn lại nối với Vcc là được.
3 .Nhận xét
Nhóm 10/D5-DTVT1 Page 16
7447
7493
Mạch có ứng dụng lớn trong việc thiết kế các bộ đếm, đồng hồ đếm….với việc sử
dụng nhiều IC 7493,7490 và Led 7 thanh hơn.
BÀI 7: ĐỒNG HỒ SỐ
Sơ đồ mạch:
Nguyên lý:
Đồng hồ số thực chất là 1 bộ đếm với 6 con LED với tưởng ứng 2 LED 1, 2
biễu diễn giây, 2 LED tiếp theo biểu diễn phút và 2 LED cuối biểu diễn giờ (24h)
Nhóm 10/D5-DTVT1 Page 17
Các con LED 1, 3, 5 tương ứng thực chất là 3 bộ đếm 10, LED 2 và 4 là bộ
đếm 6 (0-5) và LED 6 là bộ đếm 3 (0-2). LED 1 sẽ được kích bằng 1 xung CLOCK
để đếm s.các con LED sau sẽ được kích bằng cách nối chân CP0 của ic 7493 vào
chân R1 hoặc R2 của LED trước để khi nhảy trạng thái 1 thì sẽ có xung kích vào
LED sau để nhảy số
Đặc biệt 2 LED 5 6 sẽ biểu diễn h đến 24 => có 2 trạng thái dừng (chuyển
về 0) là 10 của LED 5 và 24 của LED 5 và 6 để chuyển về 0h (LED 5 là 4 và LED
6 là 2). Để làm được điều này ta phải chia trường hợp cho 2 con LED 1 là khi LED
5 nhảy đến 10 thì Reset và 2 là khi LED 6 nhảy đến 2 cùng với LED 5 nhảy tới 4
thì sẽ Reset. Như vậy cần 1 ic 7432 (OR) để xác định khi gặp trường hợp 1 hoặc 2

thì sẽ Reset 2 LED 5, 6 và 2 ic 7408 (AND) với mỗi 1 con AND sẽ dung để biểu
diễn 2 trường hợp đã nêu ở trên và đầu ra 2 ic sẽ là 2 đầu vào của ic 7432 ở trên,
đầu ra ic 7432 sẽ đi vào 2 chân R1 và R2 của IC9 (7493) của LED 5 để cho cả 2
chân lên trạng thái 1 mỗi khi có 1 trường hợp xuất hiện để RESET bộ đếm
AND2 biểu diễn giá trị 10 của LED 5 (nói cách khác là bộ đếm 10) và 2
chân sẽ được nối với chân B,D của IC9 (10 = 1010) để RESET con LED 5.AND1
sẽ biểu diễn giá trị 24h (tức là 2 của LED 6 và 4 của LED 5) thì sẽ RESET về 0h,
chân 1 được nối với B (2 = 0010) của IC11 (LED 6) và chân 2 nối với C (4 =
0100) của IC9 (LED5) để RESET LED 5,6 về 0h khi lên 24h. Rơi vào trường hợp
nào thì đầu ra tương ứng của AND sẽ ra là 1 và làm cho OR = 1 dẫn đến cả 2 chân
R1, R2 = 1 dẫn đến RESET. 2 chip AND sẽ k có trường hợp đồng thời = 1 do
AND2 và chân 2 của AND1 biểu diễn giá trị của LED 5 luôn biểu diễn giá trị khác
nhau.
Chú ý: 2 LED 5 và 6 cũng chính là cách để thiết kế 1 bộ đếm bất kỳ 2 chữ số riêng
(ví dụ như bộ đếm 32, 45,… được thiết kế theo cách này)
Nhóm 10/D5-DTVT1 Page 18
Bài Thực Hành: Đếm từ 00 đến 58
Cũng như bộ đếm từ 00 đến 99 thì bộ đếm từ 00 đến 58 cũng có cấu trúc tương tự,
nhưng cần thêm 3 mạch nhân AND để tạo tín hiệu điều khiển cho U3 (7493) hàng
chục quay về 0 khi lên đến 5
Và hàng đơn vị vẫn chạy từ 0 đến 9 khi hàng chục từ 0 đến 4
Và hàng đơn vị chạy từ 0 đến 8 khi hàng chục là 5.
Nhóm 10/D5-DTVT1 Page 19
MẠCH ỨNG DỤNG
Bài tập về nhà : mạch ứng dụng đếm ngược đèn giao thông
Linh kiện sử dụng trong mạch: 74LS190, 74LS47, LED 7 đoạn.
1) Phần IC đếm xung và giải mã ra BCD
Nhóm 10/D5-DTVT1 Page 20
Do trong bài toán này là của chúng ta là bài toán đếm lùi nên chúng ta phải sử
dụng IC đếm lùi. Bài toán này biendt dùng IC đếm : 74LS190

74LS190 là IC dòng TTL dùng để đếm lên và đếm xuống chia 10 hay gọi là vi
mạch thuận nghịch thập phân (MOD10). Khi có xung vào chân đếm của 74LS190
thì tùy vào điều kiện mà chúng ta cấu hình đếm lên hay đếm xuống thì IC này cứ
mỗi sườn lên của xung đầu vào thì nó giải mã ra mã BCD. Nếu mà đếm xuống thì
nó sẽ đếm và giải mã kiểu này : Xung vào thứ 1 nó giải mã BCD ra (0001) tức là
số 9, tương tự như vậy thì xung thứ 2 nó giải mã BCD ra (1000) tức là số 8 cứ thế
cho đến xung thứ 9 và BCD là số 0. Còn đếm lên thì ngược lại.
Hình dạng sơ đồ chân của 74LS1190:
Chức năng của từng chân như sau:
+ Vcc là chân cấp nguồn 5V
+ GND là chân cấp nguồn Mass
+ Q0 đến Q3 là đầu ra của bộ đếm mã BCD
+ CP là ngõ vào cấp xung Clock cho mạch đếm
+ CE là ngõ cho vào tích cực luôn đặt ở mức logic 0
+ U/D : Chân cấu hình cho đếm lên hay đếm xuông Nếu đếm lên thì mức 0 và
đếm lùi là 1
Nhóm 10/D5-DTVT1 Page 21
+ PL là ngõ đầu vào thiết lập trạng thái đầu cho mạch đếm : PL = 0 ; Qi = Ai
( i=0,1,2,3)
+ A0 đến A3 là các đầu vào dữ liệu
+ TC và RC là hai ngõ ra dùng để kết nối liên tầng giữa hai con 74LS190
Để IC này đếm đúng và chạy đúng thì các pác cần chú ý đặt mức logic đúng cho
các chân đầu vào. Mọi thông tin chi tiết hơn các pác có thể tham khảo trực tiếp
datasheet của nó.
2) Phần hiện thị lên LED 7 thanh
Do đầu ra của 74LS190 là mã BCD do đó để hiện thị lên LED 7 thì cần phải mã
hóa ra mã của LED 7 thanh. Do đó ta dùng IC mã hóa là 74LS47. Loại IC này
cũng rất đơn giản và dễ kiếm tác dụng của nó là đầu vào BCD sau đó giải mã ra
LED 7 tương ứng.
Sơ đồ để cấu hình chân của nó trong bảng chân lý sau :

Nhóm 10/D5-DTVT1 Page 22
Nguyên tắc hoạt động:
Mạch đềm lùi từ 99 về 00 có 3 khối chính : Khối tạo xung, khối giải mã, khối mã
hóa hiện thị.
IC 555 có tác dụng tạo ra các xung đếm liên tục cấp cho U2 để đếm. Đếm nhanh
hay đếm chậm ta có thể điều chỉnh được tần số đếm trên con IC 555 bằng biên trở
R3.
Khi có xung đếm vào chân 14 của U2 thì U2 bắt đầu đếm số lượng xung vào và nó.
Xung đầu vào là 0 ch0 đến 9 thì U2 giải mã BCD từ 9 về 0 đồng thời cấp cho U4
mã hóa ra LED 7. Trên LED 7 vạch sẽ hàng đơn vị sẽ chạy từ 9 về 0. Khi hết 1 chu
Nhóm 10/D5-DTVT1 Page 23
kì đếm thì U2 tự động reset và xuất 1 xung ra chân số 13 của U2 và U2 lại đếm lại
từ đầu như trên.
Khi quá trình đầu thì U1 chưa nhận được xung nào thì vị trí của LED hàng trục là
số 9. KHi chân số 13 của U2 được đưa lên 1 thì chân số 14 của U1 được nhận 1
xung và U1 đếm xung như U2. Quá trình cứ như vậy. Khi U2 hết chu kì thì lại cấp
cho U1 1 xung. Như thế nó sẽ đếm từ 99 về 00.
CHú ý : Trong con 74LS190 có chân PL rất quan trọng nó có thể cho chúng ta thiết
kế bộ đếm từ 99 về 50, 51 nếu chân này là ở mức 0 thì IC đếm chưa đếm hết chu
kì cũng tự reset lại chu kì mới. Cái này các pác xem ký bảng chân lý của mã BCD
và kết hợp với các cổng logic là có thể thiết kế được.
Nhóm 10/D5-DTVT1 Page 24

×