Tải bản đầy đủ (.doc) (25 trang)

THIẾT KẾ BỘ LỌC VI PHÂN THEO CẤU TRÚC FIR BẰNG PHƯƠNG PHÁP LẤY MẪU TẦN SỐ (TIỂU LUẬN MÔN HỌC XỬ LÝ TÍN HIỆU SỐ)

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (656.16 KB, 25 trang )

ĐẠI HỌC ĐÀ NẴNG
TRƯỜNG ĐẠI HỌC BÁCH KHOA
oOo
TIỂU LUẬN MÔN HỌC
XỬ LÝ TÍN HIỆU SỐ
ĐỀ TÀI:
THIẾT KẾ BỘ LỌC VI PHÂN THEO CẤU TRÚC FIR
BẰNG PHƯƠNG PHÁP LẤY MẪU TẦN SỐ
GVHD : TS. NGÔ VĂN SỸ
SVTH : Phạm Đức Linh
: Nguyễn Văn Lượm
: Hoàng Hữu Vấn
Lớp : 07CLC2
Đà Nẵng, tháng 11/2010
2
LỜI MỞ ĐẦU
Xử lý tín hiệu số (Digital Signal Processing – DSP) là một lĩnh vực mới, đã phát
triển mạnh mẽ về mặt lý thuyết và công nghệ. Ngày nay, nó đã trở thành môn học
không thể thiếu cho nhiều ngành học về khoa học và kỹ thuật. Các thuật toán của nó
đã mang lại những thuận tiện cho công nghệ và ứng dụng mới, nhất là các lĩnh vực về
kỹ thuật điện tử và điều khiển tự động.
Phép xử lý cơ bản nhất của DSP là lọc, và các hệ thống được đề cập đến nhiều nhất
trong xử lý tín hiệu số là các bộ lọc số (Digital Filter).
Nếu xét về đáp ứng xung có thể chia các bộ lọc số thành 2 loại chính là bộ lọc có
đáp ứng xung hữu hạn FIR (Finite Impulse Response) còn gọi là lọc không đệ quy, và
bộ lọc có đáp ứng xung vô hạn IIR (Infinte Impulse Response) còn gọi là lọc đệ quy.
Xét về đáp ứng tần số biên độ có thể chia các bộ lọc, FIR hay IIR, thành 4 loại cơ
bản: thông thấp, thông cao, thông dải và chắn dải. Các bộ lọc này có thể được thiết kế
bằng những phương pháp sau đây: Phương pháp cửa sổ (Window Design Techniques),
Phương pháp lấy mẫu tần số (Frequency Sampling Design Techniques) và Phương
pháp xấp xỉ tối ưu cân bằng gợn sóng (Optimal Equiripple Design Techniques). Mỗi


phương pháp đều có những đặc điểm và ưu khuyết điểm riêng.
Với tiểu luận này, tôi xin phép được trình bày bài toán “ Thiết kế bộ lộc thông
dải cấu trúc FIR bằng phương pháp lấy mẫu tần số “
Nội dung tiểu luận được chia thành 3 phần:
Phần 1. Cơ sở lý thuyết
Phần 2. Thiết kế bộ Vi phân theo cấu trúc FIR bằng phương pháp lấy mẫu tần số
Phần 3. Thuật toán và chương trình thiết kế
Tôi xin trân trọng cảm ơn Thầy giáo TS. Ngô Văn Sỹ đã tận giảng dạy và hướng dẫn
để tôi có thể hoành thành tốt tiểu luận này. Phần lớn nội dung trình bày ở báo cáo này
được lấy từ bài giảng, tài liệu tham khảo do thầy cung cấp.
Trong quá trình thực hiện tiểu luận, tuy đã hết sức cố gắng song không tránh khỏi
những sai sót. Rất mong nhận được sự góp ý của Thầy, các bạn học viên cùng lớp để
nội dung của tiểu luận được hoàn chỉnh hơn.
Đà Nẵng, ngày 09 tháng 11 năm 2010
3
PHẦN 1. CƠ SỞ LÝ THUYẾT
1.1. Mở đầu
Việc thiết kế một bộ lọc số tiến hành theo 3 bước:
- Đưa ra các chỉ tiêu (Specifications): Để thiết kế một bộ lọc, đầu tiên chúng ta cần
xác định các chỉ tiêu. Các chỉ tiêu được xác định bởi các ứng dụng.
- Tìm các xấp xỉ (Appproximations): Một khi chỉ tiêu đã được xác định, ta sử dụng
các khái niệm và công cụ toán học khác nhau để tiến tới biểu diễn và tính gần đúng
cho bộ lọc với tập các chỉ tiêu đã cho. Bước này là chủ đề chính của việc thiết kế lọc
số.
- Thực hiện bộ lọc (Implementation): Kết quả của các bước trên được mô tả dưới
dạng một phương trình sai phân, hoặc một hàm hệ thống H(z), hoặc một đáp ứng xung
h(n). Từ các mô tả này chúng ta có thể thi hành bộ lọc bằng phần cứng hoặc phần mềm
mô phỏng trên máy tính.
Ở trong nhiều ứng dụng như xử lý tiếng nói hoặc xử lý âm thanh, bộ lọc số được
dùng để thực hiện các thao tác chọn tần. Do đó, các chỉ tiêu được đòi hỏi trong vùng

tần số ở mỗi chu kỳ về số lượng và đáp ứng pha của bộ lọc mong muốn. Nói chung
đáp ứng pha cần tuyến tính trong dải thông.
- Trong trường hợp các bộ lọc FIR , Có thể đạt được chính xác yêu cầu về pha tuyến
tính.
- Trong trường hợp các bộ lọc IIR, một dải thông có pha tuyến tính là rất khó đạt.
Do đó, chúng ta chỉ xét các chỉ tiêu về biên độ.
Có 2 nhóm chỉ tiêu:
• Các chỉ tiêu tuyệt đối (Absolute Specifications) : Đưa ra một tập các yêu cầu
trên hàm đáp ứng biên độ |H(e
jw
)|. Những chỉ tiêu này được sử dụng chung
cho các bộ lọc FIR.
• Các chỉ tiêu tương đối (Relative Specifications - DB) : Đưa ra các yêu cầu
tính theo decibels (dB), được cho bởi :
Phương pháp này được là một phương pháp phổ biến nhất trong thực tế và được sử
dụng cho cả bộ lọc FIR và IIR.
Trong những
phần tiếp sau đây, để mô tả các chỉ tiêu của bộ lọc chúng ta sẽ xem xét việc thiết kế
một bộ lọc thông thấp như một ví dụ cơ sở để thiết cho bộ lọc FIR.
1.2. Các chỉ tiêu
Trên hình (1.1) là mô tả các chỉ tiêu của bộ lọc FIR thông thấp (Low Pass Filter):
1.2.1.Các chỉ tiêu tuyệt đối
•Band [0, w
p
] được gọi là dải thông, và δ
1
là dung sai (gợn sóng) được chấp
nhận trong đáp ứng dải thông lý tưởng.
0
)(

)(
log20
max
10
≥−=
ω
ω
j
j
eH
eH
dBscale
(1.1)
4
•Band [w
s
,
π
] được gọi là dải chắn, và δ
2
là dung sai ở dải chắn.
Band [w
p
, w
s
] được gọi là dải chuyển tiếp, và không có ràng buộc nào về đáp ứng biên
độ trong dải này.
1.2.2. Các chỉ tiêu tương đối (DB)
R
p

: Độ gợn sóng trong dải thông tính theo dB.
A
s
: Suy hao trong dải chắn tính theo dB.
Quan hệ giữa các chỉ tiêu này như sau:

Tại sao tập trung vào bộ lọc thông thấp?
Các chỉ tiêu trên được đưa ra đối với bộ lọc FIR thông thấp, và tất nhiên đối với các
bộ lọc khác như thông cao HPF (High Pass Filter), thông dải BPF (Band Pass Filter)
đều có thể được định nghĩa tương tự. Tuy nhiên, các tham số thiết kế quan trọng nhất
là các dung sai dải tần và các tần số cạnh-dải. Bởi vậy, trong phần 1 về cơ sở lý thuyết
này chúng ta chỉ tập trung vào bộ lọc FIR thông thấp. Việc thiết kế cụ thể cho bộ lọc
FIR thông dải bằng kỹ thuật cửa sổ sẽ được phát triển trên cơ sở lọc thông thấp và sẽ
được mô tả chi tiết trong phần 2.
Các thuận lợi trong thiết kế và thi hành lọc số FIR
Việc thiết kế và thực hiện lọc FIR có những thuận lợi sau đây:
(1.2)
(1.3)
0
1
1
2
10
log20 >
+
−=
δ
δ
s
A

(>>1) for stopband
0
1
1
1
1
10
log20 >
+

−=
δ
δ
p
R
(≈0) for passband
ω
ω
5
•Đáp ứng pha là tuyến tính.
•Dễ thiết kế do không gặp các vấn đề ổn định (lọc FIR luôn ổn định).
•Việc thực hiện rất hiệu quả
•.
•Có thể sử dụng DFT để thực hiện
•Mặc dầu vậy dải đã cho là dải thông hay dải chắn chỉ là tương đối có thể đảo
lại ( minor issue).
Các thuận lợi của đáp ứng pha tuyến tính
Đáp ứng pha là tuyến tính (linear phase response) mang lại những thuận lợi sau:
• Bài toán thiết kế chỉ gồm các phép tính số học thực chứ không cần phép tính số
học phức

• Bộ lọc pha tuyến tính không có méo trễ nhóm và chỉ bị trễ một khoảng không
đổi.
• Đối với bộ lọc có chiều dài M (hoặc bậc M-1) số phép toán có bậc M/2 như đã
khảo sát trong thi hành pha tuyến tính.
1.3. Cấu trúc của bộ lọc FIR
Cho h(n), n=0,1,…,M-1 là đáp ứng xung có chiều dài M. Thì hàm truyền hệ thống là
một bộ lọc đáp ứng xung hữu hạn với hàm hệ thống có dạng:


=
−−


=+++=
1M
0n
n
n
M1
1M
1
10
zbzbzbb)z(H 
(1.4)
Như vậy đáp ứng xung h(n) là:



−≤≤
=

else
Mnb
nh
n
0
10
)(
(1.5)
Và phương trình sai phân là:
)1()1()()(
110
+−++−+=

Mnxbnxbnxbny
M

(1.6)
Đây chính là tích chập tuyến tính của các dãy hữu hạn.
Bậc của bộ lọc là M-1, trong khi chiều dài của bộ lọc là M (bằng với số lượng các
hệ số). Các cấu trúc bộ lọc FIR luôn luôn ổn định, và tương đối đơn giản hơn so với
các cấu trúc bộ lọc IIR. Hơn thế nữa, các bộ lọc FIR có thể được thiết kế để có một
đáp ứng pha tuyến tính và đó là điều cần thiết trong một số ứng dụng.
Chúng ta sẽ xem xét lần lượt các cấu trúc của bộ lọc FIR sau đây:
1.3.1. Cấu trúc dạng trực tiếp
Phương trình sai phân được thực hiện bởi một dãy liên tiếp các bộ trễ do không có
đường phản hồi:
)1Mn(xb)1n(xb)n(xb)n(y
1M10
+−++−+=



(1.7)
Do mẫu thức bằng đơn vị nên ta chỉ có một cấu trúc dạng trực tiếp duy nhất. Cấu
trúc dạng trực tiếp được cho trong hình (1.2) với M = 5:
1.3.2. Cấu trúc dạng ghép tầng
b
0
z
-
1
b
1
z
-
1
b
2
z
-
1
b
3
z
-
1
b
4
y(n)
x(n)
Hình (1.2) Cấu trúc lọc FIR dạng trực tiếp

6
Hàm hệ thống H(z) được biến đổi thành các tích của các khâu bậc 2 với các hệ số
thực. Các khâu này được thực hiện ở dạng trực tiếp và bộ lọc tổng thể có dạng ghép
tầng của các khâu bậc 2.






+++=+++=


−−

− M1
0
1M
1
0
1
0
M1
1M
1
10
z
b
b
z

b
b
1bzbzbb)z(H 
(1.8)


=
−−
++=
K
1k
2
2,k
1
1,k0
)zBzB1(b
trong đó






=
2
M
K
, B
k,1
và B

k,2
là các số thực đại diện cho các hệ số của các khâu bậc
2. Cấu trúc dạng ghép tầng được cho trong hình (1.3) với M = 7:
1.3.3. Cấu trúc dạng pha tuyến tính
Đối với các bộ lọc chọn tần, người ta mong muốn có đáp ứng pha là hàm tuyến tính
theo tần số, nghĩa là:
αω−β=∠
ω
)e(H
j
π≤ω≤π−
(1.9)
Trong đó :
0=β
hoặc
2
π
±

α
là một hằng số.
Đối với bộ lọc FIR nhân quả có đáp ứng xung trong khoảng [0, M-1], thì các điều
kiện tuyến tính là:
1Mn0,0);n1M(h)n(h −≤≤=β−−=
(1.10)
1Mn0,2/);n1M(h)n(h −≤≤π±=β−−−=
(1.11)
Xét phương trình sai phân được cho trong phương trình (1.6) với đáp ứng xung đối
xứng trong phương trình (1.10), ta có:
)1Mn(xb)2Mn(xb)1n(xb)n(xb)n(y

0110
+−++−++−+= 

++−+−++−+= )]2Mn(x)1n(x[b)]1Mn(x)n(x[b
10
Sơ đồ khối thực hiện phương trình sai phân trên được mô tả trong hình (1.4) dưới
đây đối với cả M lẻ và M chẵn:
Đối với M lẻ: M = 7, còn đối với M chẵn: M = 6 ( hình 1.4 )
Rõ ràng, với cùng một bậc của bộ lọc (cùng M) cấu trúc pha tuyến tính sẽ tiết kiệm
được 50% các bộ nhân so với cấu trúc dạng trực tiếp.
B
1,1
z
-
1
z
-
1
z
-
1
y(n)
x(n)
B
2,1
B
3,1
b
0
B

1,2
z
-
1
z
-
1
z
-
1
B
2,2
B
3,2
Hình (1.3) Cấu trúc lọc FIR dạng ghép tầng
7
1.4. Các đặc tính của bộ lọc FIR pha tuyến tính
Trong phần này chúng ta sẽ thảo luận về hình dạng của đáp ứng xung, đáp ứng tần
số trong hàm hệ thống của các bộ lọc FIR pha tuyến tính.
Cho h(n), trong đó 0 ≤ n ≤ M – 1, là đáp ứng xung có chiều dài M thì hàm truyền hệ
thống là:
∑∑

=
−−−−

=

==
1M

0n
n1M)1M(
1M
0n
n
z)n(hzz)n(h)z(H
(1.12)
có (M-1) điểm cực ở gốc (trivial poles) và M-1 điểm không nằm ở vị trí bất kỳ trên
mặt phẳng z. Đáp ứng tần số là:
π≤ω<π−=


=
ω−ω
,e)n(h)e(H
1M
0n
njj
(1.13)
1.4.1. Đáp ứng xung h(n)
Chúng ta có thể đưa ra ràng buộc pha tuyến tính:
π≤ω<π−αω−=∠
ω
,)e(H
j
(1.14)
trong đó: α là một hằng số trễ pha. Ta đã biết rằng h(n) phải đối xứng, nghĩa là:
2
1M
,1Mn0),n1M(h)n(h


=α−≤≤−−=
(1.15)
Do đó h(n) là đối xứng theo α, là chỉ số đối xứng. Có hai kiểu đối xứng:
• M lẻ: Trong trường hợp này,
2
1M −

là một số nguyên. Đáp ứng xung
được mô tả trong (hình 1.5) dưới đây:
• M chẵn: Trong trường hợp này,
2
1M −

không phải là một số nguyên. Đáp
ứng xung được mô tả bằng (hình 1.6) dưới đây:
Hình 1.5 Đáp ứng xung đối xứng, M lẻ
8
Ta cũng có bộ lọc FIR pha tuyến tính loại hai nếu ta yêu cầu đáp ứng pha
( )
ω

j
eH
thoả mãn điều kiện:
αω−β=∠
ω
)e(H
j
với

π≤ω<π−
(1.16)
Đáp ứng pha là đường thẳng nhưng không đi qua gốc. Trong trường hợp này α
không phải là hằng số trễ pha, nhưng:
α−=
ω

ω
d
)e(Hd
j
(1.17)
là hằng số, chính là trễ nhóm (α là một hằng số trễ nhóm). Trong trường hợp này, các
tần số được làm trễ với một tốc độ không đổi.
Đối với kiểu pha tuyến tính này, có thể thấy rằng:
1Mn0),n1M(h)n(h −≤≤−−−=

2
,
2
1M π
±=β


(1.18)
Điều này có nghĩa rằng đáp ứng xung h(n) là phản đối xứng (antisymmetric). Chỉ số
đối xứng vẫn là
2
1M −


. Một lần nữa chúng ta lại có 2 kiểu, cho M lẻ và M chẵn.
• M lẻ: Trong trường hợp này,
2
1M −

là một số nguyên. Đáp ứng xung
được mô tả bằng (hình 1.7) dưới đây:
Lưu ý rằng mẫu h(α) tại
2
1M −

phải bằng 0, nghĩa là,
0
2
1M
h =







.
Hình 1.6 Đáp ứng xung đối xứng, M chẵn
Hình 1.7 Đáp ứng xung phản đối xứng, M lẻ
9
• M chẵn: Trong trường hợp này,
2
1M −


không phải là một số nguyên. Đáp
ứng xung được mô tả trong hình 1.8.
1.4.2. Đáp ứng tần số H(e
j
ω
)
Như vậy, khi tổ hợp hai loại đối xứng và phản đối xứng với M chẵn và M lẻ, ta có
bốn kiểu lọc FIR pha tuyến tính. Đáp ứng tần số của mỗi kiểu có biểu thức và hình
dạng riêng. Để nghiên cứu các đáp ứng pha của các kiểu này, ta viết biểu thức của
H(e
j
ω
) như sau:
2
1M
,
2
;e)e(H)e(H
)(jj
r
j


π
±=β=
αω−βωω
(1.19)
trong đó H
r

(e
j
ω
) là hàm đáp ứng độ lớn chứ không phải là hàm đáp ứng biên độ. Đáp
ứng độ lớn là một hàm thực, có thể vừa dương vừa âm, không giống đáp ứng biên độ
luôn luôn dương. Đáp ứng pha kết hợp với đáp ứng biên độ là một hàm không liên tục,
trong khi kết hợp với đáp ứng độ lớn là một hàm tuyến tính liên tục.
• Bộ lọc FIR pha tuyến tính Loại-1 ( Type 1 ): Đáp ứng xung đối xứng, M lẻ:
Trong trường hợp này
0=β
,
2
1M −

là một biến nguyên, và
( ) ( )
nMhnh −−= 1
,
1Mn0
−≤≤
, thì ta có thể chứng tỏ rằng:
( )
( )
( )
2/1Mj
2/1M
0n
j
encosna)e(H
−ω−


=
ω






ω=

(1.20)
trong đó:
( )







=
2
1M
h0a
với mẫu ở chính giữa
(1.21)
( )









= n
M
hna
2
1
2
với
2
3M
n1

≤≤

• Bộ lọc FIR pha tuyến tính Loại-2 ( Type 2 ): Đáp ứng xung đối xứng, M chẵn
Hình 1.8 Đáp ứng xung phản đối xứng, M chẵn
10
Trong trường hợp này
0=β
,
( ) ( )
n1Mhnh −−=
,
1Mn0
−≤≤

, nhưng
2
1M −

không phải là một biến nguyên, thì ta có thể chứng tỏ rằng:
( )
( )
2/1Mj
2/M
1n
j
e
2
1
ncosnb)e(H
−ω−
=
ω



















−ω=

(1.22)
trong đó:
( )






−= n
2
M
h2nb
với
2
M
, ,2,1n =
(1.23)
Sosánh (1.21) và (1.18), ta có:
( )

=













−ω=ω
2/M
1n
r
2
1
ncosnb)(H
(1.24)
Lưu ý: Tại
π=ω
, ta có
( )
0
2
1
ncosnb)(H
2/M
1n

r
=












−π=π

=
mà không cần quan tâm
đến b(n) hoặc h(n). Do đó chúng ta không thể sử dụng loại này (h(n) đối xứng, M
chẵn) đối với bộ lọc thông cao hoặc bộ lọc chắn dải.
• Lọc FIR pha tuyến tính Loại-3 ( Type 3 ): Đáp ứng xung phản đối xứng, M lẻ:
Trong trường hợp này ta có
2
π

,
2
1M −

là một biến nguyên,

( ) ( )
n1Mhnh −−−=
,
1Mn0 −≤≤
, và
0
2
1M
h =







thì ta có thể chứng tỏ:
( )
( )






ω









π

=
ω






ω=

2
1M
2
j
2/1M
0n
j
ensinnc)e(H
(1.25)
trong đó :
( )









= n
2
1M
h2nc
với
2
M
, ,2,1n =
(1.26)
So sánh (1.24) và (1.18), ta có:
( ) ( )
( )


=
ω=ω
2/1M
0n
r
nsinncH
(1.27)
Lưu ý: Tại
0



π=ω
, ta có
( )
0H
r

mà không cần quan tâm c(n) hoặc h(n).
Hơn thế nữa,
je
2
j
=
π
, điều đó có nghĩa là
( )
ω
r
jH
là thuần ảo. Do đó, loại bộ lọc này
không thích hợp đối với việc thiết kế bộ lọc thông thấp hoặc thông cao. Tuy nhiên,
điều này thích hợp đối với việc xấp xỉ các bộ vi phân và bộ biến đổi Hilbert số lý
tưởng.
• Lọc FIR pha tuyến tính Loại-4 ( Type 4 ):Đáp ứng xung phản đối xứng, M
chẵn
Trong trường hợp này
2
π

,
( ) ( )

n1Mhnh −−−=
,
1Mn0 −≤≤
, nhưng
2
1M −

không phải là một biến nguyên, thì ta có thể chứng tỏ rằng:
11
( )






ω








π
=
ω



















−ω=

2
1M
2
j
2/M
1n
j
e
2
1
nsinnd)e(H
(1.28)

trong đó:
( )






−= n
2
M
h2nd
với
2
M
, ,2,1n =
(1.29)
So sánh (1.27) và (1.18), ta có:
( )

=













−ω=ω
2/M
1n
r
2
1
nsinnd)(H
(1.30)
Lưu ý: Tại
π=ω
,
0)0(H
r
=

je
2
j
=
π
. Do vậy, loại này cũng thích hợp cho việc
thiết kế các bộ vi phân số và bộ biến đổi Hilbert số.
Bảng sau đây mô tả khả năng thích hợp trong việc thiết kế các bộ lọc và các bộ biến
đổi Hilbert số, bộ vi phân số của 4 loại lọc FIR pha tuyến tính đã nêu:
Bảng 1.1
Type LPF HPF BPF SBF Hilbert Differentiator
FIR Type 1

   
FIR Type 2
 
FIR Type 3
  
FIR Type 4
   
12
PHẦN 1
1.PHUƠNG PHÁP CỬA SỔ - PHẠM ĐỨC LINH
a)LÝ THUYẾT
Ý tưởng cơ bản: chọn một bộ lọc chọn tần lý tưởng (mà đáp ứng xung
luôn luôn phi nhân quả, dài vô hạn) và cắt (lấy cửa sổ) đáp ứng xung của
nó để thu được bộ lọc FIR có pha tuyến tính và nhân quả.
Để thu được bộ lọc FIR có pha tuyến tính và nhân quả h(n) có độ dài M, ta cần

Thao tác này được gọi là "lấy cửa sổ".
Nhận xét:
+ Do cửa sổ w(n) có chiều dài M hữu hạn, đáp ứng của nó có một peaky
main lobe mà độ rộng tỷ lệ với 1/M, và side lobes của nó có chiều cao
thấp hơns.
2
1
,
0
10)(
)(

=




−≤≤
=
M
and
elsewhere
Mnnh
nh
hp
α



−≤≤
=
=
otherwise
Mnovertorespectwithfunctionsymmetricsome
nw
nwnhnh
hp
,0
10
)(
)()()(
α
13
+Tích chập tuần hoàn sinh ra một phiên bản méo của đáp ứng xung lý
tưởng Hd(e

jw
)
+ Main lobe sinh ra một dải chuyển tiếp trong H(e
jw
) mà độ rộng là chấp
nhận được so với độ rộng chuyển tiếp. Độ rộng này tỷ lệ với 1/M. (the
wider the main lobe, the wider will be the transition width).
+Side lobes sinh ra các gợn sóng có hình dạng như nhau trong cả dải
thông và dải chắn.
Ý tưởng thiết kế cửa sổ căn bản
+Với các chỉ tiêu bộ lọc đã cho, chọn chiều dài bộ lọc và một hàm cửa
sổ w(n) với độ rông main lobe hẹp nhất và hệ số suy giảm side lobe bé
nhất có thể được.
+Từ nhận xét 4 nêu trên ta chú ý rằng dung sai dải thông delta1 và dung
sai dải chắn delta2 không thể ấn định một cách độc lập. Ta lấy chung
delta
2
= delta
1
.
Hanning Window
This is a raised cosine window function
Hamming Window






−≤≤















=
otherwise
Mn
M
n
nw
,0
10,
1
2
cos15.0
)(
π






−≤≤








=
otherwise
Mn
M
n
nw
,0
10,
1
2
cos46.054.0
)(
π
14
A. THIẾT KẾ BỘ LỌC THÔNG DẢI PHƯƠNG PHÁP CỬA
SỔ
%thiet ke bo loc bang phuong phap kaiser window
ws1 = 0.2*pi; wp1 = 0.35*pi;
wp2 = 0.65*pi; ws2 = 0.8*pi;

As=60;
tr_width = min((wp1-ws1),(ws2-wp2))%do rong dai chuyen tiep
M = ceil(11*pi/tr_width) + 1 %do rong cua cua so
n=[0:1:M-1];
wc1 = (ws1+wp1)/2;%tan so cat duoi
wc2 = (wp2+ws2)/2;% tan so cat tren
hd = ideal_lp(wc2,M) - ideal_lp(wc1,M);%bo loc thong thap1-thong thap 2
w_ka = (kaiser(M))';%ham cua so blacknman
h = hd .* w_ka;%tong chap tich phan<=>nhan ham cua so
[db,mag,pha,grd,w] = freqz_m(h,[1]);%db:dap ung bien do tinh theo Db
delta_w = 2*pi/1000;% khoang cach cac mau tan so
Rp = -min(db(wp1/delta_w+1:1:wp2/delta_w)) %gon song dung sai cho phep cua
dai thong
As = -round(max(db(ws2/delta_w+1:1:501))) % gon song dung sai cho phep o
dai chan
%dap ung xung ly tuong cua bo loc thong dai
subplot(1,1,1);
subplot(2,2,1); stem(n,hd); title('dap ung xung li tuong bo loc thong dai')
axis([0 M-1 -0.4 0.5]); ylabel('hd(n)');text(M+1,-0.4,'n')
%ham cua so kaiser
subplot(2,2,2); stem(n,w_ka);title('ham cua so kaiser')
axis([0 M-1 0 1.1]); ylabel('w(n)');text(M+1,0,'n')
%dap ung xung cua bo loc ly tuong
subplot(2,2,3); stem(n,h);title('dap ung xung cua bo loc li tuong')
axis([0 M-1 -0.4 0.5]); xlabel('n'); ylabel('h(n)')
%dap ung bien do
subplot(2,2,4);plot(w/pi,db);%set(gca,'FontName','cmr12');
title('dap ung bien do cua bo loc thiet ke dB');grid;
xlabel('tan so tinh theo don vi PI'); ylabel('Bien do')
axis([0 1 -150 10]);

set(gca,'XTickMode','manual','XTick',[0,0.2,0.35,0.65,0.8,1])
set(gca,'YTickMode','manual','YTick',[-60,0])
set(gca,'YTickLabelMode','manual','YTickLabels',['60';' 0'])
15
2. KẾT QUẢ
2 /
*
(0), 0
( ) ( )
( ) 1,2, 1
j k M
H k
H k H e
H M k k M
π
=

= =

− = −

L
16
THIẾT KẾ BỘ LỌC VI PHÂN THEO CẤU TRÚC FIR BẰNG PHƯƠNG
PHÁP LẤY MẪU TẦN SỐ
(FREQUENCY SAMPLING DESIGN TECHNIQUES)
( HOÀNG HỮU VẤN )
Cách tiếp cập này đưa trên sự kiện là hàm truyền hệ thống H(z) có thể thu được từ
các mẫu H(k) của đáp ứng tần số H(e
jw

).
Kỹ thuật thiết kế này rất phù hợp với cấu trúc lấy mẫu tần số đã khảo sát trong
chương 6.
Đáp ứng Pha đối với Type 1 & 2
Đáp ứng Pha đối với Type 3 & 4
Ý tưởng cơ bản:
Cho một bộ lọc thông thấp lý tưởng H
d
(e
jw
) chọn chiều dài bộ lọc M và sau đó lấy
mẫu H
d
(e
jw
)ở M tần số cách đều nhau giữa 0 và 2pi. Đáp ứng thực tế là nội suy của
các mẫu được cho bởi

1 1
1 2 /
0 0
1 ( )
( ) ( )
1
M
M M
n
j k M
n k
z H k

H z h n z
M z e
π

− −


= =

= =

∑ ∑
1
2 /
0
1 ( )
( )
1
jwM
M
jw
jw j k M
k
e H k
H e
M e e
π




=

=


( )
2
( )
j H k
r
k
H k H e
M
π

 
=
 ÷
 
( )
2 ( )
(0), 0
2
( )
, 1,2, , 1
r
r r
M k
r
M

H k
k
H k H
H k M
M
π
π

=


 
= =

 ÷
= −
 


L
1 2 1
, 0, ,
2 2
( )
1 2 ( ) 1
, 1, , 1
2 2
M k M
k
M

H k
M M k M
k M
M
π
π
 − −
    
− =
 ÷ ÷

 
     
∠ =

− − −
    

+ = + −
 ÷ ÷
 

    

L
L
1 2 1
, 0, ,
2 2 2
( )

1 2 ( ) 1
, 1, , 1
2 2 2
M k M
k
M
H k
M M k M
k M
M
π π
π π
 − −
      
± − =
 ÷  ÷ ÷

 
      
∠ =

− − −
      

− ± − = + −
 ÷  ÷ ÷
 

      


L
L
1 1
1 2 /
0 0
1 ( )
( ) ( )
1
M
M M
n
j k M
n k
z H k
H z h n z
M z e
π

− −


= =

= =

∑ ∑
17

Lỗi xấp xỉ là hiệu của đáp ứng lý tưởng và đáp ứng thực tế bằng 0 tại các tần số được
lấy mẫu,

lỗi xấp xỉ ở tất cả các tần số khác nhau phụ thuộc vào tần số của đáp ứng tần số lý
tưởng, nghĩa là đáp ứng tần số lý tưởng càng sắc nét thì lỗi xấp xỉ càng lớn
Lối càng lớn khi ở gần cạnh dải và càng bé khi ở bên trong dải
Hai cách tiếp cạnh thiết kế:
Phương pháp thiết kế đơn giản: Sử dụng ý tưởng cơ bản và không đưa ra một
ràng buộc nào về lỗi xấp xỉ, nghĩa là chấp nhận lỗi sinh ra do thiết kế
Phương pháp thiết kế tối ưu: cố gắng tối thiểu hoá lỗi trong dải chắn bằng cách
thay đổi các giá trị của mẫu trong dải chuyển tiếp.
Phương pháp thiết kế đơn giản
Trong phương pháp này ta đặt H(k)=H
d
(e
j2pik/M
), k=0,…, M-1 và sử dụng (7.35)
đến (7.39) để thu được đáp ứng xung h(n).
Ví dụ 7.14
Phương pháp thiết kế đơn giản hiếm khi được sử dụng trong thực tế.
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
-60
-50
-40
-30
-20
-10
0
10
Độ suy hao dải chắn tối thiểu vào khoảng 16dB, rõ ràng là không thể chấp nhận.
Nếu tăng M, sẽ có các mẫu trong dải chuyển tiếp mà chúng ta không thể biết rõ đáp
ứng tần số.
Phương pháp thiết kế tối ưu

( ) [ ( )]h n IDFT H k
=
18
Để có được hệ số suy giảm tốt hơn, chúng ta phải tăng M tạo ra các mẫu tự do
ở dải chuyển tiếp nghĩa là, chúng ta thay đổi tcác giá trị của chúng để thu
được hệ số suy giảm lớn nhất đối với M và độ rộng dải chuyển tiếp đã cho.
Đây là một bài toán tối ưu hoá và được giải quyết bằng kỹ thuật qui hoạch
tuyến tính.
Chú thích
Phương pháp này thú vị ở chỗ khi thay đổi một mẫu ta có thể đạt được một
thiết kế tốt hơn nhiều.
Trong thực tế độ rộng dải chuyển tiếp nói chung khá bé, chỉ chưa được một
hoặc hai mẫu. Do đó chúng ta cần tối ưu tốt nhất hai mẫu để thu được hệ số suy
giảm dải chắn lớn nhất.
Điều này cũng tương đương với việc tối thiểu hoá các biên độ side lobe cực đai
theo nghiã tuyệt đối. Do đó bài toán tối ưu này còn được gọi là bài toán minimax
(Chưa đề cập đến thuật toán chi tiết)
.
2
0.8 , 60
s
w Rp dB
π
= =
1
0.35 , 1
p
w Rp dB
π
= =

2
0.65 , 1
p
w Ap dB
π
= =
1
0.2 , 60
s
w As dB
π
= =
19
2. Chương trình thiết kế bộ lọc thông dải bằng phương pháp lấy mẫu
tần số
2.1. Bài toán thiết kế
Cho các thông số sau đây:
Cạnh thấp dải chắn:
Cạnh thấp dải thông:
Cạnh cao dải thông:
Cạnh cao dải chắn:
Sử dụng phương pháp lấy mẫu tần số để thiết kế bộ lọc FIR thông dải
Giải :
Chúng ta chọn M=40 để chúng ta có 2 mẫu trong dải chuyển tiếp, đưa vào tần số lấy
mẫu trong dải chuyển tiếp là T
1
và T
2
Các mẫu của đáp ứng độ lớn:
{

{
{
{
{
1 2 2 1 1 2 2 1
5 7 9 7 4
(w) [0, ,0,T ,T ,1, ,1,T ,T ,0, ,0,T ,T ,1, ,1,T ,T ,0, ,0]
r
H
=
Tối ưu các giá trị T
1
và T
2
với M=40 và 7 mẫu ở trong dải thông:

T
1
= 0.10902 và T
2
= 0.59417456
Chương trình thi hành bằng MTLAB
Trong chương trình có sử dụng đến các hàm Hr_type2 và freqz_m như sau:
Hr_type2:
function [Hr,w,b,L] = Hr_Type2(h);
%
%
M = length(h);
L = M/2;
b = 2*[h(L:-1:1)]; % 1x(L+1) row vector

n = [1:1:L]; n = n-0.5;
w = [0:1:500]'*pi/500;
Hr = cos(w*n)*b';
freqz_m:
function [db,mag,pha,grd,w]=freqz_m(b,a);
% Modified version of freqz subroutine
%
% [db,mag,pha,grd,w]=freqz_m(b,a);
% db=Relative magnitude in dB computed over 0 to pi radians
% mag=absolute magnitude computed over 0 to pi radians
% grd= Group delay over 0 to pi radians
% w=501 frequency samples between 0 to pi radians
% b=numerator polynomial of H(z) (for FIR: a=h)
% a=demonitor polynomial of H(z) (for FIR: a=[1])
%
[H,w]=freqz(b,a,1000,'whole');
H=(H(1:1:501))';w=(w(1:1:501))';
mag=abs(H);
db=20*log10((mag+eps)/max(mag));
20
pha=angle(H);
grd=grpdelay(b,a,w);
Chương trình chính:
% THIET KE BO LOC FIR THONG DAI SU DUNG PP LAY MAU TAN SO
% Cac thong so cua bo loc:
% ws1=0.2pi, wp1=0.35pi, wp2=0.65pi, ws2=0.8pi, Rp=1dB, As=60dB
% T2 = 0.59417456, T1=0.109021
M = 40; alpha = (M-1)/2; l = 0:M-1; wl = (2*pi/M)*l;
T1 = 0.109021; T2 = 0.59417456;
Hrs =

[zeros(1,5),T1,T2,ones(1,7),T2,T1,zeros(1,9),T1,T2,ones(1,7),T2,T1,zeros(1,
4)];
Hdr = [0,0,1,1,0,0]; wdl = [0,0.2,0.35,0.65,0.8,1];
k1 = 0:floor((M-1)/2); k2 = floor((M-1)/2)+1:M-1;
angH = [-alpha*(2*pi)/M*k1, alpha*(2*pi)/M*(M-k2)];
H = Hrs.*exp(j*angH);
h = real(ifft(H,M));
[db,mag,pha,grd,w] = freqz_m(h,1);
[Hr,ww,a,L] = Hr_Type2(h);
subplot(1,1,1)
subplot(2,2,1);plot(wl(1:21)/pi,Hrs(1:21),'o',wdl,Hdr);
axis([0,1,-0.1,1.1]); title('Bandpass: M=40,T1=0.5941, T2=0.109')
xlabel(' '); ylabel('Hr(k)')
set(gca,'XTickMode','manual','XTick',[0,0.2,0.35,0.65,0.8,1])
set(gca,'YTickMode','manual','YTick',[0,0.109,0.5941,1]); grid
subplot(2,2,2); stem(l,h); axis([-1,M,-0.4,0.4])
title('Impulse response');ylabel('h(n)');text(M+1,-0.4,'')
subplot(2,2,3); plot(ww/pi,Hr,wl(1:21)/pi,Hrs(1:21),'o');
axis([0,1,-0.1,1.1]); title('Amplitude response')
xlabel('Frequency in pi unit'); ylabel('Hr(w)')
set(gca,'XTickMode','manual','XTick',[0,0.2,0.35,0.65,0.8,1])
set(gca,'YTickMode','manual','YTick',[0,0.109,0.5941,1]);grid
subplot(2,2,4);plot(w/pi,db); axis([0,1,-100,10]); grid
title('Magnitude response'); xlabel('Frequency in pi unit');
ylabel('Decibel');
set(gca,'XTickMode','Manual','XTick',[0,0.2,0.35,0.65,0.8,1]);
set(gca,'YTickMode','Manual','YTick',[-60;0]);
set(gca,'YTickLabelMode','manual','YTickLabels',['60';' 0'])
21
2.2 Kết quả chương trình:

22
C. THIẾT KẾ BỘ LỌC SỐ BẰNG PHƯƠNG PHÁP TỐI ƯU:
(NGUYỄN VĂN LƯỢM)
Việc thiết kế bộ lọc thông thấp FIR bằng các sử dụng kỹ thuật thiết kế dùng cửa sổ sẽ
đơn giản và mộ cách tổng quát ,dẫn đến kết quả là một bộ lọc có phaarmr chất tương đối
tốt.Tuy nhiên,các bộ lọc này không tối ưu:
1. Thứ nhất ,các độ lệch dải thông và dỉa chận ,delta_p và delta_s,xấp xỉ bằng
nhau.mặc dù thường ta cần delta_s nỏ hưn nhiều so với delta_p các thông số này không thể
được điều khiển một cách độc lập trong phương pháp thiết kế sử dụng cửa sổ.Do vậy,với
phương pháp thiết kế sử dụng cửa sổ, vta cần phải thiết kế lố dải thông của bộ lọc để thỏa các
yêu cầu nghiêm ngặt hơn trong dải chận.
2. Thứ hai,với đa số các cửa sổ ,độ gợn sẽ không đều hoặc trong dỉa thông hoặc trong
dải chận và một cách tông quát giảm khi di chuyển từ giải chuyển tiếp.việc cho phắp độ gợn
được phân bố đều trên toàn dỉa sẻ tạo ra độ gợn đỉnh nhỏ hơn.
Bộ lọc có pha tuyến tính đồng độ gợn , mặt khác, sẻ tối ưu theo nghĩa biên độ của độ gợn
được tối thiểu hóa trên tất cả các dải đối với bậc cho trước N của bộ lọc.
Trong đề cập sau đây,ta khảo sát việc thiết kế bộ lọc có pha tuyến tính loại 1.kết quả thiết
kế này có thể dể dàng được sửa đổi để thiết kế các loại bộ lọc có pha tuyến tính loại khác.
Dáp ứng bộ lọc FIR có pha tuyến tính có thể viết như sau:
Trong đó các giá trị từ beta và các biểu thức đối với Hr(w) được cho trong bảng
7.2(P.278)
Sử dụng các biểu thức lượng đơn giản,mỗi biểu thức đối với Hr(w) trên có thể được viết
dưới dạng tích của hàm cố định theo w(Q(w)) và một hàm là tổng của cosine(P(w)).

A. Mục đích của phân tích là để có được công thức chung đối với Hr(w) trong cả bốn
trường hợp .giúp cho định dạng bài toán dễ dàng hơn.Để thiêt lập bài toán thiết kế bộ lọc
như một bài toán xấp xỉ Chebyshev,ta phải xác định đáp ứng độ lớn Hdr(w) và một hàm
trọng số W(w), được xác định trên cả dải thông và dải chắn.
B. Bài toán xắp xỉ chepbyseb:
Hàm trọng là cần thiết để có thể điều khiển độc lập trên delta1 và delta2. lỗi trọng số

được tính như sau:
C. Thực trạng bài toán:
Xác định một tập hợp các hệ số a(n) or b~(n) or c~(n) or d~(n) [hoặc tương đương a(n) or
b(n) or c(n) or d(n)] để tối thiểu hoá giá trị tuyết đối cực đại của E(w) trên dải thông và dải
chắn.
Bây giờ chúng ta đã thành công trong việc ấn định chính xác wp,ws,delta1, delta2. Thêm vào
đó lỗi được phân bố đều đặn trong cả dải thông và dải chắn.
)()(
2
1
wHeeeH
r
wj
jjw
M −

=
β

=
==
L
n
r
wnnwPwPwQwH
0
cos)()(),()()(
α
],[],0[)],()()[()(
π

sprdr
wwSwwHwHwWwE ∪=∈−=
SwwP
wQ
wH
wQwW
wPwQwHwWwE
dr
dr







−=
−=
,)(
)(
)(
)()(
)]()()()[()(
)]()(
ˆ
)[(
ˆ
)( wPwHwWwE
dr
−=







∈Sw
coeffover
wE |)(|maxmin
.
23
Có bao nhiêu cực đại và cực tiểu cục bộ tồn tại trong hàm lỗi E(w) đối với một bộ lọc
M-điểm đã cho?
Kết luận: hàm lỗi E(w) có nhiều nhất (L+3) cực trị trong S.
D. Định lý luân phien(Alternation theorem):
Gọi S là tập con đóng bất kỳ trên đoạn khép kín [0,pi]. Để cho P(w) là xấp xỉ minimax
duy nhất đối với Hdr(w) trên S, thì điều kiện cần và đủ là hàm lỗi E(w) có ít nhất (L+2) tần số
cực trị luân phiên (alternations) trong S; nghĩa là, phải tồn tại (L+2) tần số wi trong S sao cho
E. Thuật toán:Park-McCelelan:
Được giải bằng thuật toán Remez.
1. Ước lượng chiều dài bộ lọc bậc M bằng (7.48)
2. Dự đoán các tần số cực trị wi (i= 1:L+2)
3. Tìm một đa thức bậc L (Lth polynomial) phù hợp các điểm này
4. Xác định các đa thức mới của wi bằng cách nội suy đa thức
5. Lặp từ bước đầu
6. Xác định a(i) và Emax bằng min(max(E(w)))
Các bước này được tích hợp trong hàm remez

F. Hàm Remez thiết kế cân bằng gợn sống:
ổng quát: [h]=remez(N,f,m,weights,ftype)

Khi ấy weight=1 mọi nơi, Và ftype không phải là bộ biến đổi Hilbert hoặc bộ Vi phân
[h]=remez(N,f,m)
h là các hệ số bộ lọc có chiều dài M=N+1
N ký hiệu bậc của bộ lọc
f một mảng ký hiệu các cạnh dải tính theo đơn vị của π.
m – đáp ứng biên độ mong muốn ở mỗi f
Swww
wEwEwE
L
S
ii
∈<<<∀
±=±=−=
+

110
1
|)(|max)()(

δ
π
δδ
δδ
δ
δ
δ
δ
δ
2
,

6.14
log20
ˆ
10*)1(
1
log20
110
110
1
1
log20
2110
20/
12
1
2
10
20/
20/
1
1
1
10
ps
A
s
R
R
p
ww

f
f
M
A
R
s
p
p

=∆


=
+=⇒
+
−=
+

=⇒
+

−=

24
a. Thiết kế bộ lọc thông dải dùng phương pháp tối ưu:
ws1 = 0.2*pi; wp1 = 0.35*pi; wp2 = 0.65*pi; ws2 = 0.8*pi;
Rp = 1.0; As = 60;
delta1=(10^(Rp/20)-1)/(10^(Rp/20)+1);
delta2=(1+delta1)*(10^(-As/20));
delataH=max(delta1,delta2);deltaL=min(delta1,delta2);

weights=[1 delta2/delta1 1];
delta_f=min((ws2-wp2)/(2*pi),(wp1-ws1)/(2*pi));
%uoc luong bac bo loc
M=ceil((-20*log10(sqrt(delta1*delta2))-13)/(14.6*delta_f)+1)
%uoc luong sai so giua thuc te va ly tuong
f=[0 ws1/pi wp1/pi wp2/pi ws2/pi 1];%mot mang ky hieu cac canh dai tinh
theo don vi cu pi
m=[0 0 1 1 0 0];%dap ung bien do mong muon
%uoc luong bang thuat toan REMEZ
M = M+1;
h=remez(M-1,f,m,weights);% M-1:bac cua bo loc
%f:da giai thich
%m:
%weights:trong so
[db,mag,pha,gr,w]=freqz_m(h,[1])%db:do suy hao
%mag:dap ung bien do
%pha:dap ung pha
%gr:dap ung thoi gian tren nhom
%w:dap ung tan so lay mau
delta_w=2*pi/1000;
ws1i=floor(ws1/delta_w)+1; wp1i = floor(wp1/delta_w)+1;
ws2i=floor(ws2/delta_w)+1; wp2i = floor(wp2/delta_w)+1;
Asd = -max(db(1:1:ws1i))
M = M+1;
h = remez(M-1,f,m,weights);
[db,mag,pha,grd,w] = freqz_m(h,[1]);
Asd = -max(db(1:1:ws1/delta_w))
[Hr,omega,P,L] = ampl_res(h);
figure(1); subplot(1,1,1)
subplot(2,2,1); stem([0:1:M-1],h); title('dap ung xung thuc te')

axis([0,M-1,-0.4,0.5]);ylabel('h(n)');text(M,-0.4,'n')
set(gca,'XTickMode','manual','XTick',[0:3:M-1])
set(gca,'YTickMode','manual','YTick',[-0.4:0.1:0.5])
%dap ung do lon
subplot(2,2,2);plot(w/pi,db);title('dap ung do lon o db');
axis([0,1,-80,10]); xlabel('frequency in pi units'); ylabel('Decibels')
set(gca,'XTickMode','manual','XTick',f)
set(gca,'YTickMode','manual','YTick',[-60,0]);
set(gca,'YTickLabelMode','manual','YTickLabels',['60';' 0']);grid
%dap ung bien do
subplot(2,2,3);plot(omega/pi,Hr);title('Dap ung bien do');
axis([0 1 -0.1 1.1]); xlabel('frequency in pi units'); ylabel('Hr(w)')
set(gca,'XTickMode','manual','XTick',f)
set(gca,'YTickMode','manual','YTick',[0,1]);grid
%trong so
delta_w = 2*pi/1000; sp_edge1 = ws1/delta_w+1; sp_edge2 = ws2/delta_w+1;
subplot(2,2,4);
sb1w = omega(1:1:ws1i)/pi; sb1e = Hr(1:1:ws1i);
pbw = omega(wp1i:wp2i)/pi; pbe = Hr(wp1i:wp2i)-1;
sb2w = omega(ws2i:501)/pi; sb2e = Hr(ws2i:501);
plot(sb1w,sb1e,pbw,pbe*(delta2/delta1),sb2w,sb2e);
title('Loi trong so');
axis([0,1,-deltaL,deltaL]);
xlabel('X 10^(-3)'); ylabel('Hr(w)')
set(gca,'XTickMode','manual','XTick',f)
set(gca,'YTickMode','manual','YTick',[-deltaL,0,deltaL]);
set(gca,'XGrid','on','YGrid','on')
25

b. Kết quả chương trình

×