Tải bản đầy đủ (.docx) (258 trang)

(Luận án tiến sĩ) nghiên cứu điều khiển hệ truyền động biến tần đa mức có tính đến sự cố van bán dẫn

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (9.03 MB, 258 trang )

LỜI CAM ĐOAN

Tơi xin cam đoan đây là cơng trình nghiên cứu của riêng tôi dƣới sự hƣớng dẫn
của các Thầy hƣớng dẫn và các nhà khoa học. Tài liệu tham khảo trong luận án
đƣợc trích dẫn đầy đủ. Các số liệu, kết quả trong luận án hoàn toàn trung thực và
chƣa từng đƣợc tác giả khác công bố.

Ngƣời hƣớng dẫn khoa học

Hà Nội, ngày tháng năm 2021
Tác giả luận án

Mai Văn Chung

i


LỜI CẢM ƠN

Luận án này đƣợc hoàn thành trên cơ sở những kết quả nghiên cứu của tôi tại
trƣờng Đại học Bách khoa Hà Nội. Sau một thời gian học tập nghiên cứu, tơi đã
hồn thành luận án này dƣới sự hƣớng dẫn của TS. Vũ Hoàng Phương và PGS.TS
Nguyễn Văn Liễn, Trƣờng Đại học Bách khoa Hà Nội.
Trƣớc hết, Tơi xin bày tỏ lịng biết ơn sâu sắc đối với sự chỉ dẫn tận tình của tập
thể các thầy hƣớng dẫn, những ngƣời đã dìu dắt, chia sẻ, quan tâm, tạo mọi điều
kiện, giúp đỡ kịp thời để tôi hồn thiện luận án này.
Tơi xin chân thành cảm ơn các Thầy Cơ trong bộ mơn Tự động hóa Cơng nghiệp,
đã cho tôi môi trƣờng làm việc chuyên nghiệp, năng động và có những ý kiến góp ý
chân thành, sâu sắc trong suốt q trình tơi học tập, làm việc, xây dựng thực nghiệm
cũng nhƣ từng bƣớc thực hiện luận án.
Tơi xin cảm ơn Ban giám hiệu, Phịng đào tạo, Viện Điện Trƣờng Đại học Bách


khoa Hà Nội đã tạo điều kiện thuận lợi nhất về nhiều mặt để tôi hồn thành luận án.
Tơi xin chân thành cảm ơn các anh chị em Nghiên cứu sinh của bộ môn Tự động
hóa Cơng nghiệp, những ngƣời ln cùng tơi đồng hành, luôn động viên, giúp đỡ
lẫn nhau, cùng trao đổi chuyên mơn, hỗ trợ tơi trong việc tìm kiếm tài liệu nghiên
cứu trong học tập để tơi có kết quả nhƣ ngày hơm nay.
Tơi xin chân thành cảm ơn nhóm nghiên cứu Điện tử cơng suất làm việc tại
phịng 203/C9 Bộ mơn Tự động hóa Cơng nghiệp, Viện Điện, Đại học Bách Khoa
Hà Nội, những ngƣời luôn cùng Tôi đồng hành, luôn động viên, giúp đỡ lẫn nhau,
cùng trao đổi chuyên môn, hỗ trợ trong nghiên cứu, triển khai thực nghiệm để tơi có
kết quả nhƣ ngày hơm nay.
Tơi xin bày tỏ lòng cảm ơn sự quan tâm, giúp đỡ, động viên và tạo điều kiện của
Ban giám hiệu trƣờng Đại học Hùng Vƣơng, Ban chủ nhiệm khoa Kỹ thuật Công
nghệ và các đồng nghiệp tại khoa Kỹ thuật Công nghệ, Trƣờng Đại học Hùng
Vƣơng đã giúp đỡ tạo điều kiện về mặt thời gian, công việc để Tôi học tập, nghiên
cứu một cách thuận lợi.
Sau cùng, tôi xin gửi lời cảm ơn sâu sắc nhất đến gia đình Tơi đã luôn quan tâm,
động viên và giúp đỡ để Tôi vƣợt qua mọi khó khăn để hồn thành luận án.
Hà Nội, ngày tháng năm 2021
Tác giả luận án

Mai Văn Chung
ii


MỤC LỤC
LỜI CAM ĐOAN................................................................................................i
LỜI CẢM ƠN..................................................................................................... ii
MỤC LỤC.........................................................................................................iii
DANH MỤC KÝ HIỆU.................................................................................... vi
DANH MỤC CHỮ VIẾT TẮT....................................................................... viii

DANH MỤC BẢNG........................................................................................... x
DANH MỤC HÌNH VẼ, ĐỒ THỊ..................................................................... xi
MỞ ĐẦU............................................................................................................ 1
Chƣơng 1. Tổng quan nghịch lƣu đa mức cấu trúc cầu H nối tầng ứng dụng cho
hệ truyền động không đồng bộ.................................................................................. 5
1.1. Nghịch lƣu đa mức cấu trúc cầu H nối tầng...........................................5
1.2. Phƣơng pháp điều khiển mạch vòng dòng điện..................................... 8
1.2.1 Tổng quan các phƣơng pháp thiết kế mạch vòng dòng điện......................8
1.2.2. Phƣơng pháp điều chế............................................................................ 10
1.2.3. Phƣơng pháp điều khiển dự báo dòng điện............................................ 13
1.2.4. Phát hiện và xử lý lỗi của nghịch lƣu đa mức khi xảy ra lỗi van cơng suất
16
1.3. Định hƣớng nghiên cứu và dự kiến đóng góp của luận án...................21
1.4. Kết luận.................................................................................................21
Chƣơng 2. Điều khiển nghịch lƣu đa mức cầu H nối tầng dựa trên điều chế
vector không gian.................................................................................................... 23

2.1. Điều khiển nghịch lƣu đa mức cầu H nối tầng có xét đến lỗi van bán
dẫn cấp nguồn cho hệ truyền động theo nguyên lý FOC........................23
2.1.1. Cấu trúc của hệ truyền động................................................................... 23
2.1.2. Mơ hình động cơ không đồng bộ ba pha................................................. 26
2.2. Phƣơng pháp phát hiện sự cố hở mạch van bán dẫn............................ 27

2.3. Tổng qt hóa điều chế vector khơng gian cho cho nghịch lƣu đa mức
cầu H nối tầng có xét đến tình huống lỗi van bán dẫn............................31
2.3.1. Tổng quát hóa phƣơng pháp điều chế SVM........................................... 31
2.3.1.1. Tìm vector điện áp đặt.................................................................. 32
2.3.1.2. Xác định vị trí của điện áp đặt...................................................... 32
2.3.1.3. Hệ số điều chế và thứ tự chuyển mạch......................................... 38
2.3.1.4. Xác định trạng thái của các vector chuẩn.....................................42

2.3.1.5. Tổ chức đóng ngắt van bán dẫn.................................................... 44
iii


2.3.2. Cấu hình lại nghịch lƣu và ảnh hƣởng khơng gian vector điện áp khi có
tình huống sự cố hở mạch van bán dẫn............................................................. 44
2.3.2.1. Cấu hình lại nghịch lƣu khi bị lỗi................................................ 44
2.3.2.2. Ảnh hƣởng của lỗi tới không gian vector....................................45
2.3.3. Những thay đổi của thuật toán điều chế SVM cho nghịch lƣu đa mức cầu
H nối tầng có xét đến sự cố hở mạch van bán dẫn............................................ 47
2.3.3.1. Tìm vector điện áp đặt mới.......................................................... 47
2.3.3.2. Trật tự chuyển mạch và hệ số điều chế......................................... 49
2.3.3.3. Xác định trạng thái khơng lỗi và có CMV nhỏ nhất của vector
chuẩn............................................................................................................. 49

2.4. Kết quả mô phỏng và đánh giá các thuật tốn đề xuất..........................51
2.4.1. Tổng qt hóa điều chế trong điều kiện tối ƣu tần số đóng cắt...............51
2.4.2. Phát hiện và xử lý lỗi trong điều kiện tối ƣu điện áp common mode.....54
2.4.3. Mô phỏng và đánh giá hệ truyền động FOC – IM cấp nguồn bởi nghịch
lƣu đa mức với các kịch bản khác nhau............................................................ 59
2.5. Kết luận chƣơng 2................................................................................69
Chƣơng 3. Ứng dụng điều khiển dự báo cho mạch vòng dòng điện của nghịch
lƣu đa mức cầu H nối tầng...................................................................................... 71

3.1. Thiết kế bộ điều khiển dự báo dòng điện cho nghịch lƣu đa mức cầu H
nối tầng cấp nguồn hệ truyền động không đồng bộ................................ 71
3.2. Đề xuất hàm mục tiêu bổ sung thành phần triệt tiêu điện áp common
mode và tối ƣu đóng cắt cho nghịch lƣu đa mức cấu trúc cầu H nối tầng
76
3.2.1. Triệt tiêu điện áp common-mode............................................................ 76

3.2.2. Tối ƣu số lần đóng cắt van bán dẫn........................................................ 78
3.2.3. Giảm thiểu khối lƣợng tính tốn hàm mục tiêu...................................... 80
3.2.4. Hàm mục tiêu của thuật toán MPC cải tiến............................................. 81
3.3. Mô phỏng kiểm chứng..........................................................................83
3.3.1. Kết quả mô phỏng với trƣờng hợp khơng có lỗi van bán dẫn.................83
3.3.2. Kết quả mô phỏng với trƣờng hợp lỗi van bán dẫn................................94
3.4. Kết luận chƣơng 3................................................................................97
Chƣơng 4. Xây dựng hệ thống thực nghiệm..................................................... 99
4.1. Thực nghiệm thuật tốn tổng qt hóa điều chế SVM......................... 99
4.1.1. Điều kiện thực nghiệm............................................................................ 99
4.1.2. Triển khai thuật toán trên FPGA...........................................................100
4.1.3. Kết quả thực nghiệm.............................................................................101
iv


4.2. Kết quả thực nghiệm thuật toán SVM cho CHB – MLI trong điều kiện
lỗi.......................................................................................................... 102
4.2.1. Điều kiện thực nghiệm.........................................................................102
4.2.2. Triển khai thuật toán trên FPGA...........................................................103
4.2.3. Kết quả thực nghiệm.............................................................................104
4.2.3.1. Thuật toán phát hiện lỗi..............................................................104
4.2.3.2. Phƣơng pháp điều chế SVM trong trƣờng hợp có lỗi................105

4.3. Thực nghiệm thuật tốn MPC cải tiến tải RL.....................................108
4.3.1. Điều kiện thực nghiệm.........................................................................108
4.3.2. Triển khai thuật toán trên FPGA...........................................................110
4.3.3. Kết quả thực nghiệm.............................................................................111
4.4. Thực nghiệm thuật toán MPC cải tiến nối tải IM...............................115
4.4.1. Điều kiện thực nghiệm.........................................................................115
4.4.2. Triển khai thuật toán trên FPGA...........................................................117

4.4.3. Kết quả thực nghiệm.............................................................................118
4.5. Kết luận...............................................................................................122
Kết luận và kiến nghị......................................................................................124
DANH MỤC CÁC CƠNG TRÌNH ĐÃ CƠNG BỐ CỦA LUẬN ÁN...........126
TÀI LIỆU THAM KHẢO..............................................................................128
PHỤ LỤC..................................................................................................... PL-1
Phụ lục 1. Hệ thống thực nghiệm nghịch lƣu 11 mức cầu H nối tầng....PL-1
Phụ lục 2. Thiết kế mạch đo phát hiện lỗi................................................PL-3
Phụ lục 3. Mạch đo dịng điện................................................................. PL-5

Phụ lục 4. Xây dựng thuật tốn MPC cải tiến cho nghịch lƣu đa mức cầu H
nối tầng nối tải trở cảm và mô phỏng kiểm chứng..............................PL-7
Phụ lục 5. Chi tiết các bƣớc tính tốn tham số động cơ và tham số mô phỏng
PL-17
Phụ lục 6. Kit FPGA Spartan 6 và triển khai thuật tốn........................PL-21
Phụ lục 7. Kít FPGA Z7 20 và Triển khai các thuật toán bằng ngôn ngữ
VHDL trên nền tảng FPGA...............................................................PL-27
Phụ lục 8. Sơ đồ cấu trúc mô phỏng trên Matlab – Simulink................PL-42

v


DANH MỤC KÝ HIỆU
Ký hiệu
n
m

H A1 , H A 2 ,...HAn
H B1 , H B 2 ,...HBn
H C 1 , H C 2 ,...HCn


V
dc

V
o _ cellx

S

A1. k

;S

K
V

;S

A 2. k

A 3. k

;S

A 4.k

,K
A1k

A 2k


CMV

CMV

U ,U ,U
AN

BN

CN

k ,k ,k
AN

BN

CN

u ,u ,u
AZ

BZ

CZ

vα , vβ
v

,v

β −ch

α − ch

(V

,V )(V
1x

,V
1 y2 x


(Vx ,Vy )
kx,ky

mx , my

vi
D1, D2
e A , eB , eC
is

i , i ,i
sa

sb

i , i
sd


sq





i , i

sc

mw , mM
s

ω , ωs , ωr
ψ s ,ψ r
ψ ,ψ ,ψ
rd

rq

sd

f s ,Ts

ϑ

s

Lm , Lr , Ls

L , L
sd

Rr , Rs

T
tm

σ

L
R

sq

,ψ sd


Lo
C
id, iq

i∝, iβ

iref
iref_d, iref_d
VC
P
Q
KHcell


vii


Vout_cell
Vc_cell

Mức điện áp ra thực tế đo đƣợc trên cell
Mức điện áp thực tế sau chuẩn hóa trên cell

DANH MỤC CHỮ VIẾT TẮT
ĐTCS
BBĐ
CHB
FPGA
HB
DSP
FACTS
FC
IGBT
PV
NPC
MPC
FCS
PLL
PWM
MMC
STATCOM
FOC
THD

NLM
SVM
PS-PWM
LS-PWM
IPD
POD
viii


APOD

Alternative Phase Opposite Sóng mang ngƣợc pha giữa hai sóng
mang kề nhau,
Dispositio
dịch một góc
n
180o

CSPK

Cơng suất phản
kháng


ix


DANH MỤC BẢNG
Bảng 1. 1. So sánh số linh kiện trong một pha của các cấu trúc NLĐM...............6
Bảng 2. 1. KHcellx, Vout_cellx,trạng thái đóng cắt van và Vc_cellx của cầu H thứ x. . 29


Bảng 2. 2. Xác định sector chứa điện áp đặt....................................................... 36
Bảng 2. 3. Quá trình chuyển mạch cho sector I, III, V ( mx + m y = mo )...............40
Bảng 2. 4 Quá trình chuyển mạch trên các sector II, IV, VI ( mx + m y = mo ).......41
Bảng 2. 5. Xác định trạng thái của vector chuẩn ( k0 = k x + ky )...........................44
Bảng 2. 6. Vị trí của các vector khơng gian bị ảnh hƣởng bởi các cầu H bị lỗi. . 46
Bảng 2. 7. Chuyển mạch cho tất cả các sector phƣơng pháp SVM cải tiến........49
Bảng 2. 8. Ma trận chuyển đổi trạng thái vector chuẩn....................................... 50
Bảng 2. 9. Kịch bản lỗi....................................................................................... 54
Bảng 2. 10. So sáng kết quả các thuật tốn đã có với thuật tốn phát hiện lỗi đề
xuất.......................................................................................................................... 56
Bảng 2. 11. Kết quả mô phỏng khi sử dụng SVM cải tiến..................................58
Bảng 2. 12. So sánh thuật toán đề xuất với các thuật tốn đã có.........................58
Bảng 2. 13. Kịch bản mô phỏng tải động cơ....................................................... 59
Bảng 2. 14. Thống kê mức giảm điện áp............................................................. 59
Bảng 2. 15. Tốc độ động cơ................................................................................ 62
Bảng 2. 16. Điện áp Common - mode ở hai trƣờng hợp..................................... 68
Bảng 2. 17. Mức trạng thái từng pha theo kịch bản mô phỏng............................ 68
Bảng 2. 18. Thơng số mơ phỏng thuật tốn SVM tổng qt...............................20

Bảng 3. 1. Bảng trạng thái đóng cắt.................................................................... 79
Bảng 3. 2. Giá trị đặt của các bộ điều khiển PI................................................... 84
Bảng 3. 3. Giá trị các trọng số trong các giai đoạn khảo sát................................84
Bảng 3. 4. Khảo sát số lần chuyển mức của điện áp pha A.................................88
Bảng 3. 5.Giá trị momen tải................................................................................ 88
Bảng 3. 6. Khảo sát số lần chuyển mức của điện áp pha A.................................89
Bảng 4. 1. Thông số mô phỏng và thực nghiệm................................................109
Bảng 4. 2. Giá trị của biên độ dòng điện đặt.....................................................110
Bảng 4. 3. Giá trị các trọng số trong các giai đoạn khảo sát..............................110
Bảng 4. 4. Thông số động cơ và mô phỏng.......................................................116

Bảng 4. 5. Kịch bản mô phỏng bộ điều khiển dòng điện..................................116

x


DANH MỤC HÌNH VẼ, ĐỒ THỊ
Hình 1. 1. Phân loại NLĐM (nguồn [18])............................................................. 6
Hình 1. 2. Cấu trúc hệ PV dùng cấu trúc CHB (nguồn [17]).................................7
Hình 1. 3. Các phƣơng án cấp nguồn DC cách ly cho nghịch lƣu cầu H nối tầng. 7
Hình 1. 4. Sơ đồ cấu trúc hệ thống nghịch lƣu ba pha 11 mức cầu H nối tầng.....8
Hình 1. 5. Tổng quan về các phƣơng pháp điều chế cho bộ biến đổi đa mức
(nguồn: [42])........................................................................................................... 12
Hình 1. 6. Vector không gian điện áp của CHB - MLI 11 mức CHB..................12
Hình 1. 7. Sơ đồ khối phát hiện lỗi theo sai lệch điện áp [73].............................17
Hình 1. 8. Sơ đồ khối phát hiện lỗi theo sai lệch dòng điện với dịng dự báo [77].
17
Hình 1. 9. Hình ảnh mơ tả phƣơng pháp “bypass cell” [47]............................... 18
Hình 1. 10. Hình ảnh mơ tả phƣơng pháp dịch điểm trung tính [79], [41]..........19
Hình 1. 11. Ảnh hƣởng của vector điện áp ra khi mạch nghịch lƣu xảy ra lỗi. .. 20
Hình 2. 1. Cấu trúc điều khiển FOC cho IM cấp nguồn bởi nghịch lƣu đa mức có
xét đến tình huống sự cố hở mạch van bán dẫn....................................................... 25
Hình 2. 2. Cấu trúc cơ bản của CHB-MLI.......................................................... 27
Hình 2. 3. Sơ đồ khối phƣơng pháp phát hiện.................................................... 28
Hình 2. 4. Mối quan hệ giữa tín hiệu KHcellx, Vout_cellx,trạng thái đóng cắt van và
Vc_cellx của cầu H thứ x........................................................................................... 29
Hình 2. 5. Các tín hiệu trong thuật tốn phát hiện lỗi......................................... 30
Hình 2. 6. Sơ đồ khối các bƣớc triển khai phƣơng pháp SVM...........................31
Hình 2. 7. Minh họa một vector chuẩn CHB-MLI 5 mức................................... 34
Hình 2. 8. Ba hệ trục tọa độ khơng vng góc [84]............................................. 35
Hình 2. 9. Mối quan hệ giữa hệ tọa độ (V1 x ,V1y


) và (α , β )..........................36

Hình 2. 10. Tam giác chứa điện áp đặt................................................................ 37
Hình 2. 11. Q trình tính tốn đại lƣợng mx,my,kx,ky.....................................37
Hình 2. 12. Xác định hệ số điều chế khi nằm trong tam giác loại D1..................39
Hình 2. 13. Xác định hệ số điều chế khi nằm trong tam giác loại D 2..................39
Hình 2. 14. Quá trình chuyển mạch cho sector I, III, V...................................... 40
Hình 2. 15. Quá trình chuyển mạch cho sector II, IV, VI.................................... 41
Hình 2. 16. Biểu thị một vector chuẩn trên sector I............................................. 42
Hình 2. 17. Tạo tín hiệu đóng ngắn van đƣa tới van bán dẫn.............................44
Hình 2. 18. Cấu hình cầu H có thêm contactor ở đầu ra...................................... 45
Hình 2. 19. Ảnh hƣởng của lỗi lên khơng gian vector........................................ 46
xi


Hình 2. 20. Sector I của CHB-MLI 5 mức khi 1 cầu H của pha A bị lỗi.............47
Hình 2. 21. Điện áp lớn nhất có thể đạt đƣợc khi nghịch lƣu có cầu H bị lỗi....48
Hình 2. 22. Lƣu đồ thuật toán xác định giá trị điện áp đặt mới khi có lỗi...........48
Hình 2. 23. Biểu diễn một vector chuẩn trên sector I.......................................... 51
Hình 2. 24. Hình ảnh dạng điện áp trên pha A của nghịch lƣu đa mức...............52
Hình 2. 25. Hình ảnh dạng điện áp trên tải.......................................................... 53
Hình 2. 26. Hình ảnh dạng điện áp trên dây của nghịch lƣu...............................53
Hình 2. 27. Dạng tín hiệu Vc_cellHA3 , KHcellHA3 , T1, T2, fault signal của cầu HA3
trong trƣờng hợp không có lỗi................................................................................ 55
Hình 2. 28. Dạng tín hiệu Vc_cellHA3 , KHcellHA3 , T1, T2, fault signal của cầu HA3
trong trƣờng hợp lỗi hở mạch................................................................................. 55
Hình 2. 29. Dạng sóng a) điện áp pha nghịch lƣu b) điện áp pha trên tải c) dòng
điện qua tải d) CMV của hệ thống khi áp dụng thuật tốn SVM thơng thƣờng (I), cải
tiến (II).................................................................................................................... 58

Hình 2. 30. Biên độ điện áp tối đa của CHB-MLI điều chế trƣờng hợp III........60
Hình 2. 31. Biên độ điện áp tối đa của CHB-MLI điều chế với thuật tốn SVM
trƣờng hợp II........................................................................................................... 60
Hình 2. 32. Đáp ứng tốc độ khi dùng thuật tốn SVM cải tiến...........................61
Hình 2. 33. Đáp ứng tốc độ khi dùng thuật toán của trƣờng hợp II....................61
Hình 2. 34. Đáp ứng tốc độ khi dùng thuật tốn của trƣờng hợp I......................61
Hình 2. 35. Đáp ứng momen khi dùng thuật toán SVM cải tiến với trƣờng hợp III
63
Hình 2. 36. Đáp ứng momen khi dùng thuật tốn SVM với trƣờng hợp II.........63
Hình 2. 37. Đáp ứng momen khi dùng thuật toán SVM với trƣờng hợp I..........63
Hình 2. 38. Đáp ứng từ thơng khi dùng thuật tốn SVM với trƣờng hợp I.........64
Hình 2. 39. Điện áp dây khi dùng thuật toán SVM cải tiến (trƣờng hợp III)......65
Hình 2. 40. Điện áp dây khi dùng thuật tốn SVM phƣơng pháp trong trƣờng hợp
II.............................................................................................................................. 65
Hình 2. 41. Điện áp dây khi dùng thuật toán SVM trong trƣờng hợp I...............66
Hình 2. 42. Điện áp dây khi dùng thuật tốn SVM cải tiến (trƣờng hợp III)......66
Hình 2. 43. Dịng điện pha A va B khi sử dụng thuật toán trong trƣờng hợp I....66
Hình 2. 44. Điện áp common-mode khi dùng SVM cải tiến trong trƣờng hợp III
67
Hình 2. 45. Điện áp common-mode khi dùng SVM trong trƣờng hợp I.............67
Hình 2. 46. Mức trạng thái kAN, kBN, kCN khi xét tới lỗi van trong phƣơng pháp 67
Hình 2. 47. Mức trạng thái kAN, kBN, kCN khi xét tới lỗi van trong trƣờng hợp II 68
Hình 3. 1. Cấu trúc điều khiển dự báo FCS - MPC cho nghịch lƣu đa mức cầu H
nối tầng cấp nguồn hệ truyền động không đồng bộ................................................. 72
xii


Hình 3. 2. Mặt phẳng biểu diễn hai hệ tọa độ αβ và dq...................................... 74
Hình 3. 3. Giản đồ thời gian làm việc của bộ điều khiển dự báo.........................76
Hình 3. 4. Minh họa vector chuẩn có mức trạng thái có CMV=0 của nghịch lƣu 5

mức cấu trúc cầu H nối tầng.................................................................................... 78
Hình 3. 5. Minh họa một tập hợp 19 vector......................................................... 81
Hình 3. 6. Cấu trúc điều khiển của thuật toán MPC cải tiến cho hệ thống nghịch
lƣu đa mức nối tải động cơ..................................................................................... 83
Hình 3. 7. Lƣu đồ thuật toán triển khai phƣơng pháp MPC đề xuất trên vi điều
khiển........................................................................................................................ 83
Hình 3. 8. Kết quả đáp ứng của bộ điều khiển từ thơng...................................... 85
Hình 3. 9. Kết quả đáp ứng của bộ điều khiển tốc độ.......................................... 85
Hình 3. 10. Dạng momen trên trục động cơ........................................................ 86
Hình 3. 11. Dịng điện đầu ra ba pha nghịch lƣu............................................... 86
Hình 3. 12. Dạng dịng điện trên pha A............................................................... 87
Hình 3. 13. Dạng điện áp common-mode............................................................ 87
Hình 3. 14. Dạng điện áp trên pha A................................................................... 87
Hình 3. 15. Dạng điện áp trên pha A trƣớc (a) và sau (b) khi tối ƣu đóng cắt....88
Hình 3. 16. Đáp ứng của bộ điều khiển từ thơng................................................. 89
Hình 3. 17. Đáp ứng của bộ điều khiển tốc độ.................................................... 89
Hình 3. 18. Dạng momen trên trục động cơ với thuật tốn 19 vector liền kề đề
xuất.......................................................................................................................... 90
Hình 3. 19. Dạng momen trên trục động cơ với thuật tốn 7 vector liền kề........90
Hình 3. 20. Dịng điện trên ba pha nghịch lƣu.................................................... 91
Hình 3. 21. Dịng điện trên ba pha nghịch lƣu.................................................... 91
Hình 3. 22. Dạng điện áp common-mode với thuật toán 19 vector điện áp liền kề
đề xuất..................................................................................................................... 91
Hình 3. 23. Dạng điện áp common-mode với thuật tốn 7 vector điện áp liền kề
92
Hình 3. 24. Dạng điện áp ba pha......................................................................... 92
Hình 3. 25. Dạng điện áp trên pha A................................................................... 92
Hình 3. 26. Điện áp trên pha A trƣớc (a) và sau (b) khi tối ƣu đóng cắt với thuật
tốn 7 vector điện áp liền kề.................................................................................... 93
Hình 3. 27. Điện áp trên pha A trƣớc (a) và sau (b) khi tối ƣu đóng cắt thuật với

tốn 19 vector điện áp liền kề đề xuất..................................................................... 93
Hình 3. 28. Đáp ứng tốc độ với phƣơng pháp điều khiển dự báo dịng điện trong
điều kiện lỗi vãn cơng suất...................................................................................... 95
Hình 3. 29. Đáp ứng momen với phƣơng pháp điều khiển dự báo dịng điện trong
điều kiện lỗi vãn cơng suất...................................................................................... 95
xiii


Hình 3. 30. Dạng của điện áp dây với phƣơng pháp điều khiển dự báo dòng điện
trong điều kiện lỗi vãn cơng suất............................................................................. 96
Hình 3. 31. Dạng của điện áp pha với phƣơng pháp điều khiển dự báo dòng điện
trong điều kiện lỗi vãn cơng suất............................................................................. 97
Hình 4. 1. Cấu trúc hệ thống thực nghiệm nghịch lƣu 11 mức cầu H nối tầng
điều chế vector khơng gian...................................................................................... 99
Hình 4. 2. Hệ thống thực nghiệm nghịch lƣu 11 mức cầu H nối tầng...............100
Hình 4. 3. Sơ đồ khối thực hiện thuật tốn điều chế SVM tổng qt trên FPGA
101
Hình 4. 4. Dạng sóng điện áp pha 11 mức........................................................102
Hình 4. 5. Dạng sóng điện áp trên tải................................................................102
Hình 4. 6. Tín hiệu mở van a) điều chế bằng SVM, b) điều chế SVM..............102
Hình 4. 7. Cấu trúc hệ thống thực nghiệm CHB – MLI phát hiện và xử lý lỗi . 103
Hình 4. 8. Hệ thống thực nghiệm CHB-MLI phát hiện và xử lý lỗi..................103
Hình 4. 9. Sơ đồ khối thực hiện thuật toán điều chế SVM phát hiện và xử lý lỗi
trên FPGA.............................................................................................................104
Hình 4. 10. Các tín hiệu fault signal, KHcellx và Vc_cellx của cầu HA3..............105
Hình 4. 11. Các tín hiệu T1, T2, fault signal, KHcellx và Vc_cellx của cầu HA3
trong FPGA a) khơng có lỗi b) van S1 của cầu HA3 lỗi........................................105
Hình 4. 12. Điện áp đầu ra nghịch lƣu..............................................................106
Hình 4. 13. Điện áp đầu ra trên tải....................................................................107
Hình 4. 14. Dịng điện qua tải...........................................................................107

Hình 4. 15. CMV...............................................................................................108
Hình 4. 16. Sơ đồ cấu trúc bộ thực nghiệm MPC cho CHB – MLI nối tải RL . 109
Hình 4. 17. Lƣu đồ khối thực thi thuật toán MPC cải tiến trên kit Zybo Z7-20.111
Hình 4. 18. Mơ hình thực nghiệm.....................................................................112
Hình 4. 19. Kết quả thực nghiệm: Dịng điện trên ba pha tải............................112
Hình 4. 20. Kết quả thực nghiệm: Điện áp trên ba pha của nghịch lƣu............112
Hình 4. 21. Kết quả thực nghiệm: Phản ứng của hệ thống khi có sự thay đổi biên
độ dịng điện đặt....................................................................................................113
Hình 4. 22. Kết quả thực nghiệm: Điện áp 1 pha khi biên độ dòng đặt là 2A. .. 113
Hình 4. 23. Kết quả thực nghiệm: Điện áp 1 pha khi biên độ dòng đặt là 4A. .. 114
Hình 4. 24. Kết quả thực nghiệm: Điện áp common-mode...............................114
Hình 4. 25. Cấu trúc điều khiển MPC cho CHB – MLI nối tải động cơ...........115
Hình 4. 26. Hình ảnh bộ thực nghiệm điều khiển MPC với tải IM...................116
Hình 4. 27 Sơ đồ khối chức năng thực hiện thuật toán trên FPGA...................117
Hình 4. 28. Dịng isq..........................................................................................118
Hình 4. 29. Dịng điện isd..................................................................................118
xiv
Hình 4. 30.

Dòng đ


Hình 4.

31.

Đáp ứn
Dịng i
Hình 4.
32.

Hình 4.
33.
Điện á
Hình 4.
34 Điện áp pha A dạng 9 mức, tốc đ
Hình 4. 35. Xung điều khiển van: a) Khi chƣa có tối ƣu đóng cắt; b) Khi tối ƣu
đóng cắt ...................................................................................................................
Hình 4. 36. Thời gian tính tốn của chƣơng trình thuật tốn .............................

xv


MỞ ĐẦU
Tính cấp thiết của đề tài
Hiện nay, hệ thống truyền động trung áp ngày càng đƣợc sử dụng phổ biến
trong công nghiệp và giao thông vận tải. Hệ thống này động cơ thƣờng điều khiển
theo phƣơng pháp vector và đƣợc cấp nguồn bởi nghịch lƣu đa mức. Qua cấu trúc
điều khiển này, nhận thấy rằng ngoài các bộ điều khiển momen, tốc độ và vị trí thì
điều khiển nghịch lƣu đa mức cũng đóng vai trị quan trọng trong việc đảm bảo chất
lƣợng truyền động điện. Bởi vì bộ nghịch lƣu đa mức giúp cho quá trình sử dụng
linh kiện bán dẫn với kích thƣớc nhỏ hơn, dễ dàng trong quá trình thiết kế nhiệt của
thiết bị, đƣa ra dạng điện áp đầu ra có độ méo sóng hài (THD) thấp và tốc độ biến
thiên điện áp dv/dt thấp [1]. Tuy nhiên nghịch lƣu đa mức yêu cầu khối lƣợng, thời
gian tính tốn lớn, phức tạp và mất nhiều thời gian xây dựng phần cứng và mềm.
Bên cạnh đó, với việc tăng số mức, khả năng lỗi một hoặc nhiều van bán dẫn hồn
tồn có thể xảy ra [2] và chiếm 38% nguyên nhân xảy ra lỗi của nghịch lƣu đa mức
[3]. Thông thƣờng thiết bị bảo vệ sẽ tác động để ngắt bộ nghịch lƣu đa mức ra khỏi
lƣới điện nếu bị lỗi, dẫn đến động cơ dừng làm việc. Việc dừng đột ngột động cơ
trong thực tế đơi khi có thể gây ra sự cố nghiêm trọng, ví dụ nhƣ hiện tƣợng búa
nƣớc trong hệ thống bơm cột áp cao. Mặt khác, nếu tiếp tục làm việc trong điều

kiện lỗi có thể dẫn đến điện áp đầu ra mất cân bằng gây nguy hiểm cho động cơ nếu
chạy liên tục trong một thời gian dài. Do đó, việc thiết kế thuật tốn điều chế vector
khơng gian thực hiện một cách thống nhất áp dụng cho nghịch lưu với số mức mong
muốn kể cả trong trường hợp lỗi van bán dẫn là rất quan trọng và cần phải giải
quyết trong thực tế.
Phƣơng pháp điều khiển dự báo (FCS – MPC) cho nghịch lƣu đa mức đang là xu
hƣớng nhờ các ƣu điểm: khái niệm trực quan, thiết kế đơn giản, điều khiển đƣợc đa
mục tiêu, không phân biệt về điều chế và điều khiển, phù hợp với các đối tƣợng phi
tuyến….Do đó MPC cho phép giải quyết triệt để vấn đề cịn tồn tại của điều chế
vector khơng gian, nhƣ tối ƣu tần số đóng cắt và triệt tiêu điện áp common mode
không thể thực hiện cùng một thời điểm. Đồng thời để tăng độ tin cậy cho những đề
xuất giải quyết trên trong việc điều khiển nghịch lƣu đa mức có xét đến trƣờng hợp
sự cố van bán dẫn, thì việc đƣa các kết quả nghiên cứu này vào ứng dụng cụ thể nào
đó chẳng hạn nhƣ hệ truyền động trung áp, động cơ công suất lớn… là thực sự có ý
nghĩa trong nghiên cứu lý thuyết cũng nhƣ thực tiễn. Việc nghiên cứu này sẽ giúp
cho các kỹ sƣ thiết kế, vận hành hệ truyền động trung áp động cơ đƣợc cấp nguồn
bởi nghịch lƣu đa mức có xét đến trƣờng hợp sự cố trở nên đơn giản hơn. Đặc biệt

1


là xét đến trường hợp điển hình trong điều khiển nghịch lưu đa mức là triệt tiêu
điện áp common mode và tối ưu tần số đóng cắt được thực hiện đồng thời. Đây
cũng là hƣớng nghiên cứu của đề tài nhằm mục đích góp phần nâng cao độ tin cậy
cho hệ truyền động này. Các kết quả nghiên cứu sẽ đƣợc đƣợc minh chứng bằng
mô phỏng offline và thực nghiệm.

Đối tượng nghiên cứu
Nghịch lƣu đa mức cấu trúc cầu H nối tầng cấp nguồn cho hệ truyền động không
đồng bộ trung thế.


Mục tiêu của đề tài
Luận án thực hiện nghiên cứu, lựa chọn cấu trúc nghịch lƣu đa mức và đề xuất
phƣơng pháp điều chế, điều khiển cho nghịch lƣu đa mức có xét đến tình huống sự
cố van bán dẫn khi cấp nguồn cho hệ truyền động không đồng bộ với các mục tiêu
sau: tổng quát hóa phương pháp điều chế SVM cho nghịch lưu đa mức cầu H nối
tầng có xét đến tình huống sự cố van bán dẫn; phát hiện nhanh và chính xác vị trí
cầu H bị lỗi để cấu trúc lại hệ thống; ứng dụng phƣơng pháp điều khiển dự báo để
giải quyết những vấn đề cịn tồn tại trong điều chế vecto khơng gian như tối ưu tần
số đóng cắt và triệt tiêu điện áp common mode không thể thực hiện cùng một thời
điểm; kiểm chứng qua ứng dụng cho hệ truyền động trung áp có xét đến trường hợp
lỗi van bán dẫn của nghịch lưu đa mức.

Phạm vi nghiên cứu
- Điều chế SVM cho nghịch lƣu đa mức cầu H nối tầng với mức điện áp mong

muốn có xét đến sự cố van bán dẫn.
- Thuật toán phát hiện, xử lý lỗi van bán dẫn cho nghịch lƣu đa mức cầu H nối
tầng.
- Phƣơng pháp điều khiển dự báo dòng điện cho nghịch lƣu đa mức cầu H nối
tầng, có khả năng triệt tiêu điện áp common mode và tối ƣu tần số đóng cắt.

Phương pháp nghiên cứu
- Nghiên cứu thực tiễn: Để thấy đƣợc các bộ biến đổi làm việc trong thực tế,

NCS và nhóm nghiên cứu đi thực tế tại Cơng ty nƣớc Sơng đà, Nhà máy giấy An
Hịa, Nhà máy giấy Bãi bằng. Qua đó, nghiên cứu sinh có cái nhìn rõ hơn về các bộ
biến đổi hoạt động trong thực tế: Cơng suất, kích thƣớc, điều khiển, giám sát…qua
đó hình thành ý tƣởng của nghiên cứu.
2



- Nghiên cứu trên lý thuyết:
+ Tổng hợp, phân tích, đánh giá các cấu trúc, phƣơng pháp điều chế SVM cho

nghịch lƣu đa mức. Từ đó lựa chọn đƣợc cấu trúc nghịch lƣu đa mức và đề xuất
phƣơng pháp điều chế SVM cải tiến để giải quyết vấn đề nghiên cứu đặt ra.
+ Nghiên cứu, thiết kế thuật toán phát hiện và xử lý lỗi van bán dẫn.
+ Nghiên cứu các phƣơng pháp điều khiển hiện đại để thiết kế bộ điều khiển có

khả năng đồng thời triệt tiêu điện áp common mode và tối ƣu đóng cắt cho nghịch
lƣu đa mức.
+ Thực hiện mơ phỏng để có kết quả đánh giá sơ bộ.
+ Thực hiện kiểm chứng tính đúng đắn lý thuyết bằng thực nghiệm.
Ý

nghĩa của đề tài:

Ý

nghĩa khoa học:

Kết quả của nghiên cứu này giúp làm đơn giản hóa trong cách triển khai và dễ
dàng thực hiện tới mức mong muốn với thuật tốn tổng qt hóa điều chế SVM cho
nghịch lƣu đa mức cầu H nối tầng có xét đến lỗi van bán dẫn (SVM cải tiến). Trong
trƣờng hợp lỗi van bán dẫn, với thuật toán đề xuất mức giảm của điện áp là nhỏ
nhất, chất lƣợng điện áp, dịng điện đƣợc đảm bảo. Bên cạnh đó, luận án đã đề xuất
hàm mục tiêu của bộ điều khiển dự báo dòng điện đƣợc bổ sung khả năng triệt tiêu
điện áp common mode, tối ƣu tần số chuyển mạch và làm việc đƣợc trong cả
trƣờng hợp có lỗi van bán dẫn. Đồng thời, luận án đề xuất thuật toán sử dụng 19

vector điện áp liền kề với các phép tính song song đƣợc thực hiện trên FPGA giúp
cho khối lƣợng tính tốn giảm xuống, thời gian tính tốn là nhỏ nhất của bộ điều
khiển dự báo dòng điện.

Ý nghĩa thực tiễn:
Các kết quả nghiên cứu đã đƣợc kiểm chứng thơng qua mơ hình thực nghiệm
chứng tỏ khả năng ứng dụng thực tiễn. Với đóng góp của luận án giúp cho việc ứng
dụng của nghịch lƣu đa mức trong thực tế trở nên đơn giản, an toàn và thỏa mãn
nhiều yêu cầu khác nhau về chất lƣợng.

Dự kiến kết quả đạt được
- Xây dựng thành cơng thuật tốn điều chế SVM tổng quát cho nghịch lƣu đa

mức cầu H nối tầng đƣợc thực hiện một cách đồng nhất, có thể mở rộng đến mức
mong muốn kể cả trƣờng hợp có sự cố lỗi van bán dẫn.
3


- Đề xuất bộ điều khiển dự báo dòng điện giải quyết đƣợc vấn đề đồng thời triệt

tiêu điện áp common mode và tối ƣu đóng cắt; giảm khối lƣợng tính tốn và cải
thiện đáp ứng động học cho hệ truyền động bằng thuật toán 19 vector liền kề trong
một chu kỳ trích mẫu.
- Xây dựng mơ hình thực nghiệm nghịch lƣu 11 mức cấu trúc cầu H nối tầng để
có thể kiểm nghiệm và đánh giá các kết quả nghiên cứu lý thuyết.

Bố cục luận án
Toàn bộ quyển luận án đƣợc chia thành bốn chƣơng nội dung và phần kết luận,
các nội dung cơ bản nhƣ sau:
Chương 1 trình bày tổng quan về cấu trúc của nghịch lƣu đa mức, điều chế cho

nghịch lƣu đa mức có xét đến tình huống lỗi van bán dẫn, các phƣơng pháp điều
khiển mạch vịng dịng điện trong hệ truyền động khơng đồng bộ và phƣơng pháp
phát hiện lỗi. Qua phân tích, đánh giá các cơng trình nghiên cứu đã đƣợc cơng bố,
luận án chỉ ra các vấn đề chƣa đƣợc giải quyết triệt để. Từ đó luận án tập trung
nghiên cứu và đƣa ra đề xuất phƣơng hƣớng thực hiện các mục tiêu nghiên cứu của
luận án.
Chương 2 trình bày phƣơng pháp điều chế SVM tổng quát đƣợc xây dựng một
cách thống nhất với nghịch lƣu đa mức cầu H nối tầng đến mức mong muốn trong
cả trƣờng hợp lỗi van bán dẫn mà vẫn đảm bảo chất lƣợng điện áp ra với độ sụt
giảm của điện áp là nhỏ nhất. Bên cạnh đó, luận án trình bày đề xuất phƣơng pháp
phát hiện lỗi và cấu hình lại nghịch lƣu để hệ thống có thể tiếp tục làm việc khi xảy
ra lỗi. Đồng thời thuật toán phát hiện và xử lý lỗi sẽ đƣợc kiếm chứng thông qua hệ
truyền động không đồng bộ theo nguyên lý FOC.
Chương 3 trình bày về ứng dụng điều khiển dự báo dòng điện cho nghịch lƣu đa
mức cầu H nối tầng có khả năng đồng thời triệt tiêu điện áp common mode và tối
ƣu tần số đóng cắt. Tiếp theo luận án đề xuất phƣơng pháp sử dụng 19 vector điện
áp liền kề cho hàm mục tiêu và các giải pháp để giảm khối lƣợng, thời gian tính
tốn của bộ điều khiển. Bên cạnh đó phƣơng pháp điều khiển MPC đƣợc xây dựng
trong trƣờng hợp có lỗi của van bán dẫn, để hệ thống có thể tiếp tục làm việc với
mức độ sụt giảm điện áp là nhỏ nhất.
Chương 4 trình bày các tính tốn, thiết kế, quy trình thực nghiệm và các kết quả
thu đƣợc. Từ kết quả này sẽ kiểm nghiệm đƣợc tính đúng đắn lý thuyết của thuật
toán đề xuất.
Phần cuối của luận án là đƣa ra các đóng góp mới và những kiến nghị nghiên
cứu trong tƣơng lai.
4


Chương 1. Tổng quan nghịch lưu đa mức cấu trúc cầu H nối
tầng ứng dụng cho hệ truyền động không đồng bộ

Trong chƣơng 1 sẽ trình bày tổng quan về cấu trúc, phƣơng pháp điều chế
nghịch lƣu đa mức khi làm việc bình thƣờng hoặc khi xảy ra lỗi van bán dẫn. Bên
cạnh đó, trong chƣơng 1 liệt kê các phƣơng pháp điều khiển dịng điện stator tuyến
tính, phi tuyến và dự báo cho hệ truyền động không đồng bộ theo nguyên lý FOC.
Từ đó luận án tập trung nghiên cứu và đƣa ra phƣơng hƣớng thực hiện các mục
tiêu nhƣ phát hiện, xử lý lỗi, triệt tiêu đồng thời điện áp common mode và tối ƣu
tần số đóng cắt của nghịch lƣu đa mức cầu H nối tầng.

1.1. Nghịch lưu đa mức cấu trúc cầu H nối tầng
Đối với hệ truyền động trung áp, nghịch lƣu đa mức thƣờng đƣợc lựa chọn nhờ
các ƣu điểm: dạng sóng đầu ra có độ méo sóng hài (THD) thấp hơn, giảm điện áp
đặt lên các linh kiện bán dẫn công suất, tốc độ biến thiên điện áp dv/dt thấp hơn [1],
[4]... Nghịch lƣu đa mức có cấu trúc cơ bản: nghịch lƣu dùng điơt chốt điểm trung
tính (NPC) [5]; nghịch lƣu dùng hệ thống tụ bay (FC) [6], [7]; cầu H nối tầng
(CHB) [8], [9], [10]; bộ biến đổi đa cấp module (MMC) [11]–[13]. Dạng cấu trúc
của nghịch lƣu đa mức thể hiện nhƣ Hình 1. 1, so sánh thiết bị nhƣ Bảng 1. 1.
Trong các cấu trúc của nghịch lƣu đa mức, cấu trúc nghịch lƣu đa mức cầu H nối
tầng (CHB) có tính module hóa cao, linh hoạt trong sửa chữa và thay thế thiết bị do
đó giảm chi phí trong sản xuất và vận hành, không cần thêm các phần tử phụ trợ
nhƣ đi ốt, tụ điện, độ tin cậy cao [2], [14]. Với cấu trúc module hóa, CHB có thể tạo
ra số mức điện áp rất lớn. Với lợi thế này, có thể xây dựng đƣợc các BBĐ CHB làm
việc ở cấp điện áp từ trung thế (MV – từ trên 1 kV đến 60 kV), đến mức cao thế
(HV – 110 kV đến 220 kV). Do đó, CHB - MLI đƣợc sử dụng rộng rãi hơn trong
công nghiệp cho các ứng dụng khác nhau. Trong đó, nghịch lƣu đa mức đặc biệt
phù hợp với ứng dụng nhƣ:
- Nối lưới: Đối với hệ thống năng lƣợng điện mặt trời nối lƣới, thông thƣờng

gồm nhiều tấm pin năng lƣợng điện mặt trời riêng lẻ và chiếm một khu vực có diện
tích lớn nhƣ Hình 1. 2. Do cƣờng độ bức xạ mặt trời có thể khơng đều do mây che
khuất, vật cản…sẽ làm công suất trên các tấm pin không đều. Để nâng cao hiệu

suất, thông thƣờng sẽ chia nhỏ thành các nhóm PV để điều khiển sẽ tạo ra cơng suất
là cân bằng và cao nhất có thể. Cấu trúc bộ biến đổi CHB với đặc thù đƣợc cấp bởi
các nguồn DC cách ly riêng lẻ. Do đó, Cấu trúc bộ biến đổi CHB đặc biệt phù hợp
với ứng dụng năng lƣợng điện mặt trời nối lƣới [15]–[17].
5


Bảng 1. 1. So sánh số linh kiện trong một pha của các cấu trúc NLĐM
Cấu hình nghịch lƣu
Van IGBT
Diode kẹp
Tụ trên nguồn DC
Cấu trúc cầu H nối tầng CHB

vdc1
Nhiều nguồn DC cách ly

vac
vdc2

NLĐM

Cấu trúc MMC

-Vdc

-2Vdc

Cấu trúc điốt chốt NPC


+

(1/2)vdc
+

vdc

(1/2)vdc
-

vdc

vc

Một nguồn DC
Cấu trúc tụ điện bay FC

+

(1/2)vdc
-

vdc

+

(1/2)vdc
-

Hình 1. 1. Phân loại NLĐM (nguồn [18])

- Bộ biến đổi biến đổi lưới điện xoay chiều 3 pha cung cấp cho động cơ công

suất lớn, điện áp cao: Với mục tiêu ứng dụng trong hệ thống cơng suất lớn, việc
đảm bảo dịng lấy vào từ lƣới điện có dạng gần sin là rất quan trọng. Nghịch lƣu đa
mức cầu H nối tầng với nhiều nguồn DC cách ly, vì vậy có thể sử dụng các sơ đồ
máy biến áp nhiều đầu ra để nâng cao chất lƣợng dòng đầu vào. Điều này đƣợc thể
hiện trên các sơ đồ trong Hình 1. 3, trong đó hình (a) là sơ đồ chỉnh lƣu 12 xung,
hình (b) là sơ đồ chỉnh lƣu 18 xung, hình (c) là sơ đồ chỉnh lƣu 24 xung. Các cách
đấu dây trên các sơ đồ Hình 1. 3, Hình 1. 4 chỉ đảm bảo dịng lấy vào từ lƣới có
dạng sin, còn điện áp trên mỗi chỉnh lƣu đầu ra vẫn có số xung nhƣ các chỉnh lƣu


6


thơng thƣờng. Do đó cấu trúc nghịch lƣu đa mức cầu H nối tầng rất phù hợp cho
việc cấp nguồn cho hệ truyền động ở dải công suất lớn, điện áp cao [19].
Trong luận án này, đối tƣợng nghiên cứu là nghịch lƣu đa mức cấp nguồn cho hệ
truyền động không đồng bộ ở dải điện áp trung thế. Từ những phân tích trên, luận
án lựa chọn cấu trúc nghịch lƣu đa mức cầu H nối tầng với định hƣớng nghiên cứu
tập trung vào vấn đề: đơn giản hóa điều chế SVM; duy trì hoạt động hoặc dừng chủ
động của hệ thống khi xảy ra lỗi hở mạch van, đƣa ra một số đề xuất khi ứng dụng
điều khiển dự báo mơ hình mạch vịng dịng điện cho hệ thống nghịch lƣu đa mức
cầu H nối tầng – động cơ không đồng bộ.
Multistring bus a1

Multistring bus a2

Multistring bus ak


H- Bridge Cell

Cascaded H-Bridge Multilevel Converter

Hình 1. 2. Cấu trúc hệ PV dùng cấu trúc CHB (nguồn [17])

ο

0

iS

Lƣới
iS
(a)


×