Tải bản đầy đủ (.pdf) (5 trang)

The MEMS Handbook (1st Ed) - M. Gad el Hak Part 10 doc

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (143.39 KB, 5 trang )

© 2002 by CRC Press LLC
Other less obvious competing technologies for LIGA-like applications are ion-beam milling, laser
ablation methods and even ultra-precision machining (see Madou [1997, chap. 7]). The latter three are
serial processes and rather slow, but if we are considering making a mold then these technologies might
be competitive.
References
Akkaraju, S., Y. M. Desta, B. Q. Li, and M. C. Murphy, “A LIGA-Based Family of Tips for Scanning Probe
Applications,” SPIE, Microlithography and Metrology in Micromachining II, Austin, TX, 1996, pp.
191–198.
Anderer, B., W. Ehrfeld, and D. Munchmeyer, “Development of a 10–Channel Wavelength Division
Multiplexer/Demultiplexer Fabricated by an X-Ray Micromachining Process,” SPIE, 1014, 17–24,
1988.
Becker, E. W., W. Ehrfeld, D. Munchmeyer, H. Betz, A. Heuberger, S. Pongratz, W. Glashauser, H. J.
Michel, and V. R. Siemens, “Production of Separation Nozzle Systems for Uranium Enrichement
by a Combination of X-Ray Lithography and Galvanoplastics,” Naturwissenschaften, 69, 520–523,
1982.
Becker, E. W., W. Ehrfeld, and D. Munchmeyer, “Untersuchungen zur Abbildungsgenauigkeit der Ront-
gentiefenlitographie mit Synchrotonstrahlung,” KfK, Report No. 3732, Karlsruhe, Germany, 1984.
Becker, E. W., W. Ehrfeld, P. Hagmann, A. Maner, and D. Munchmeyer, “Fabrication of Microstructures
with High Aspect Ratios and Great Structural Heights by Synchrotron Radiation Lithography,
Galvanoforming, and Plastic Molding (LIGA process),” Microelectron. Eng., 4, 35–56, 1986.
Bley, P., J. Gottert, M. Harmening, M. Himmelhaus, W. Menz, J. Mohr, C. Muller, and U. Wallrabe, “The
LIGA Process for the Fabrication of Micromechanical and Microoptical Components,” in Micro-
system Technologies ’91, Krahn, R. and Reichl, H., Eds., VDE-Verlag, Berlin, 1991, pp. 302–314.
Bley, P., W. Menz, W. Bacher, K. Feit, M. Harmening, H. Hein, J. Mohr, W. K. Schomburg, and W. Stark,
“Application of the LIGA Process in Fabrication of Three-Dimensional Mechanical Microstruc-
tures,” 4th International Symposium on MicroProcess Conference, Kanazawa, Japan, 1991, pp.
384– 389.
Bley, P., D. Einfeld, W. Menz, and H. Schweickert, “A Dedicated Synchrotron Light Source for Micro-
mechanics,” EPAC92. Third European Particle Accelerator Conference, Berlin, Germany, 1992,
pp. 1690–2.


Burbaum, C., J. Mohr, P. Bley, and W. Ehrfeld, “Fabrication of Capacitive Acceleration Sensors by the
LIGA Technique,” Sensors and Actuators A, 25, 559–563, 1991.
Bustgens, B., W. Bacher, W. Menz, and W. K. Schomburg, “Micropump Manufactured by Thermoplastic
Molding,” Proceedings. IEEE Micro Electro Mechanical Systems (MEMS ’94), Oiso, Japan, January
1994, pp. 18–21.
Desta, Y. M., M. Murphy, M. Madou, and J. Hines, “Integrated Optical Bench for a CO
2
Gas Sensor,”
Microlithography and Metrology in Micromachining, (Proceedings of the SPIE), Austin, TX, 1995,
pp. 172–177.
Editorial, “Fibre Ribbon Ferrule Insert Made by LIGA,” Commercial brochure, 1994a.
Editorial, “Micro-Optics at IMM,” Commercial brochure, 1994b.
Editorial, “X-Ray Scanner for Deep Lithography,” Commercial brochure, 1994c.
Ehrfeld, W., “The LIGA Process for Microsystems,” Proceedings. Micro System Technologies ’90, Berlin,
Germany, 1990, pp. 521–528.
Ehrfeld, W., “LIGA at IMM,” Notes from handouts, 1994, Banff, Canada.
Ehrfeld, W., W. Glashauer, D. Munchmeyer, and W. Schelb, “Mask Making for Synchrotron Radiation
Lithography,” Microelectron. Eng., 5, 463–470, 1986.
Ehrfeld, W., P. Bley, F. Gotz, P. Hagmann, A. Maner, J. Mohr, H. O. Moser, D. Munchmeyer, W. Schelb,
D. Schmidt, and E. W. Becker, “Fabrication of Microstructures Using the LIGA Process,” Proceed-
ings of the IEEE Micro Robots and Teleoperators Workshop, Hyannis, MA, 1987, pp. 1–11.
© 2002 by CRC Press LLC
Other less obvious competing technologies for LIGA-like applications are ion-beam milling, laser
ablation methods and even ultra-precision machining (see Madou [1997, chap. 7]). The latter three are
serial processes and rather slow, but if we are considering making a mold then these technologies might
be competitive.
References
Akkaraju, S., Y. M. Desta, B. Q. Li, and M. C. Murphy, “A LIGA-Based Family of Tips for Scanning Probe
Applications,” SPIE, Microlithography and Metrology in Micromachining II, Austin, TX, 1996, pp.
191–198.

Anderer, B., W. Ehrfeld, and D. Munchmeyer, “Development of a 10–Channel Wavelength Division
Multiplexer/Demultiplexer Fabricated by an X-Ray Micromachining Process,” SPIE, 1014, 17–24,
1988.
Becker, E. W., W. Ehrfeld, D. Munchmeyer, H. Betz, A. Heuberger, S. Pongratz, W. Glashauser, H. J.
Michel, and V. R. Siemens, “Production of Separation Nozzle Systems for Uranium Enrichement
by a Combination of X-Ray Lithography and Galvanoplastics,” Naturwissenschaften, 69, 520–523,
1982.
Becker, E. W., W. Ehrfeld, and D. Munchmeyer, “Untersuchungen zur Abbildungsgenauigkeit der Ront-
gentiefenlitographie mit Synchrotonstrahlung,” KfK, Report No. 3732, Karlsruhe, Germany, 1984.
Becker, E. W., W. Ehrfeld, P. Hagmann, A. Maner, and D. Munchmeyer, “Fabrication of Microstructures
with High Aspect Ratios and Great Structural Heights by Synchrotron Radiation Lithography,
Galvanoforming, and Plastic Molding (LIGA process),” Microelectron. Eng., 4, 35–56, 1986.
Bley, P., J. Gottert, M. Harmening, M. Himmelhaus, W. Menz, J. Mohr, C. Muller, and U. Wallrabe, “The
LIGA Process for the Fabrication of Micromechanical and Microoptical Components,” in Micro-
system Technologies ’91, Krahn, R. and Reichl, H., Eds., VDE-Verlag, Berlin, 1991, pp. 302–314.
Bley, P., W. Menz, W. Bacher, K. Feit, M. Harmening, H. Hein, J. Mohr, W. K. Schomburg, and W. Stark,
“Application of the LIGA Process in Fabrication of Three-Dimensional Mechanical Microstruc-
tures,” 4th International Symposium on MicroProcess Conference, Kanazawa, Japan, 1991, pp.
384– 389.
Bley, P., D. Einfeld, W. Menz, and H. Schweickert, “A Dedicated Synchrotron Light Source for Micro-
mechanics,” EPAC92. Third European Particle Accelerator Conference, Berlin, Germany, 1992,
pp. 1690–2.
Burbaum, C., J. Mohr, P. Bley, and W. Ehrfeld, “Fabrication of Capacitive Acceleration Sensors by the
LIGA Technique,” Sensors and Actuators A, 25, 559–563, 1991.
Bustgens, B., W. Bacher, W. Menz, and W. K. Schomburg, “Micropump Manufactured by Thermoplastic
Molding,” Proceedings. IEEE Micro Electro Mechanical Systems (MEMS ’94), Oiso, Japan, January
1994, pp. 18–21.
Desta, Y. M., M. Murphy, M. Madou, and J. Hines, “Integrated Optical Bench for a CO
2
Gas Sensor,”

Microlithography and Metrology in Micromachining, (Proceedings of the SPIE), Austin, TX, 1995,
pp. 172–177.
Editorial, “Fibre Ribbon Ferrule Insert Made by LIGA,” Commercial brochure, 1994a.
Editorial, “Micro-Optics at IMM,” Commercial brochure, 1994b.
Editorial, “X-Ray Scanner for Deep Lithography,” Commercial brochure, 1994c.
Ehrfeld, W., “The LIGA Process for Microsystems,” Proceedings. Micro System Technologies ’90, Berlin,
Germany, 1990, pp. 521–528.
Ehrfeld, W., “LIGA at IMM,” Notes from handouts, 1994, Banff, Canada.
Ehrfeld, W., W. Glashauer, D. Munchmeyer, and W. Schelb, “Mask Making for Synchrotron Radiation
Lithography,” Microelectron. Eng., 5, 463–470, 1986.
Ehrfeld, W., P. Bley, F. Gotz, P. Hagmann, A. Maner, J. Mohr, H. O. Moser, D. Munchmeyer, W. Schelb,
D. Schmidt, and E. W. Becker, “Fabrication of Microstructures Using the LIGA Process,” Proceed-
ings of the IEEE Micro Robots and Teleoperators Workshop, Hyannis, MA, 1987, pp. 1–11.

© 2002 by CRC Press LLC

18

X-Ray-Based Fabrication

18.1 Introduction
18.2 DXRL Fundamentals

X-Ray Mask Fabrication • Thick X-Ray Photoresist • DXRL
Exposure (Direct LIGA Approach) • Development • PMMA
Mechanical Properties

18.3 Mold Filling
18.4 Material Characterization and Modification
18.5 Planarization

18.6 Angled and Re-entrant Geometry
18.7 Multilayer DXRL Processing
18.8 Sacrificial Layers and Assembly
18.9 Applications

Precision Components • Microactuators • Magnetic
Microactuator Applications • Other Applications

18.10 Conclusions
Acknowledgments

18.1 Introduction

Originally conceived for the fabrication of smaller microelectronic features, X-ray lithography has also
proven to possess attributes of great utility in micromechanical fabrication. In contrast to the many
micromachining processes that have been developed from microelectronic processing, however, X-ray-
based approaches may be largely carried out independent of a tightly controlled clean-room environment.
The mode of X-ray-based microfabrication most commonly used places this type of processing in the
additive category where a sacrificial mold is used to define the desired structural material. As a result,
this technique lends itself to a very rich and ever-expanding material base including a variety of plastics,
metals and glasses, as well as ceramics and composites. The idea of using X-rays to define molds extends
from the 1970s, when its precedent involved the definition of high-density coils for magnetic recording
read/write heads and high-density magnetic bubble memory overlays when ultimately the use of X-rays
for very large-scale integration (VLSI) lithography was initially investigated [Romankiw et al., 1970;
Romankiw, 1995; Spiller et. al., 1976; Spears and Smith, 1972]. The distinction from VLSI X-ray lithog-
raphy is that the mold or photoresist thickness for micromachining interests is generally much greater
than 50

µ


m and may be well over 1 mm. X-ray processing at these thicknesses has prompted the
nomenclature

deep X-ray lithography

or DXRL-based microfabrication.
The primary utility of DXRL processing extends from its ability to precisely and accurately define a
mold. Consequent component definition via mold filling is thus directly determined by mold acuity.
Exceptional definition in this regard is possible with highly collimated X-rays that may be obtained via

Todd Christenson

Sandia National Laboratories

© 2002 by CRC Press LLC

19

Electrochemical

Fabrication (EFAB

TM

)

19.1 Introduction
19.2 Background

Solid Freeform Fabrication • SFF for Microfabrication


19.3 A New SFF Process

Why Use Electrodeposition? • Selective Electrodeposition

19.4 Instant Masking

A Printing Plate for Metal • Instant Masking Performance

19.5 EFAB

Multiple-Material Layers • Process Steps

19.6 Detailed Process Flow

Mask Making • Substrate Preparation • EFAB Layer
Cycle • Post-Processing

19.7 Microfabricated Structures
19.8 Automated EFAB Process Tool
19.9 Materials for EFAB
19.10 EFAB Performance

Accuracy • Materials Properties

19.11 EFAB Compared with Other SFF Processes
19.12 EFAB Compared with Other
Microfabrication Processes
19.13 EFAB Limitations and Shortcomings
19.14 EFAB Applications

19.15 The Future of EFAB
Acknowledgments
Definitions

19.1 Introduction

Electrochemical fabrication (EFAB

TM

) is an emerging micromachining technology invented at the University
of Southern California and licensed to MEMGen Corporation [Cohen, 1998; 1999; Tseng et al., 1999].
It is based on multilayer electrodeposition of material using a new selective deposition technique called
Instant Masking

TM

which provides a simpler, faster and readily automated alternative to through-mask
electroplating. EFAB is based on the paradigm of solid freeform fabrication (SFF), rather than the
semiconductor clean-room fabrication paradigm on which conventional micromachining is based. The
technology is targeted at prototyping and volume production of functional microscale components,
devices and systems.

Adam L. Cohen

MEMGen Corporation

© 2002 by CRC Press LLC

20


Fabrication
and Characterization
of Single-Crystal Silicon

Carbide MEMS

20.1 Introduction
20.2 Photoelectrochemical Fabrication
Principles of 6H-SiC
20.3 Characterization of 6H-SiC Gauge Factor

Resistor–Diaphragm Modeling • Temperature Effect
on Gauge Factor • Temperature Effect on Resistance

20.4 High-Temperature Metallization

General Experimental and Characterization
Procedure • Characterization of Ti/TiN/Pt
Metallization • Ti/TaSi

2

/Pt Scheme

20.5 Sensor Characteristics
20.6 Summary
Acknowledgments

20.1 Introduction


For the purpose of precision instrumentation to better enable accurate measurements in high-temperature
environments (

>

500

°

C), there is a growing need for sensing and electronic devices capable of operating
reliably for a reasonable length of time in such a harsh environment. Typical applications for sensors
that function at high temperature include automotive, aeropropulsion (both commercial and military),
process control in materials engineering, and a host of others. Temperatures in these can go as high as
500

°

C or greater. However, most existing electronic components are limited to temperatures lower than
200

°

C, primarily due to the thermal limitations imposed by the conventional materials used in their
manufacture (most notably silicon). Robust device architecture based on silicon-on-insulator (SOI)
technology can extend device operation to near 400

°

C, either for brief period of time or with water-

cooling-assisted packaging. However, at 500

°

C the thermomechanical deformation of silicon becomes
the ultimate factor limiting high-temperature silicon microelectromechanical (MEMS) devices [Huff et al.,
1991]. Therefore, to meet the increasing need for higher temperature instrumentation, new and inno-
vative devices from materials more robust than silicon are being developed by various groups.
Technological advancement in the growth of wide band-gap semiconductor crystals such as silicon
carbide (SiC) has made it possible to extend the operation of solid-state devices and MEMS beyond 500

°

C.
Silicon carbide has long been viewed as a potentially useful semiconductor material for high-temperature

Robert S. Okojie

NASA Glenn Research Center

×